OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 74

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32
2 47 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 74 zero_gravi
-- Size: 3424 bytes
4 2 zero_gravi
 
5
library ieee;
6
use ieee.std_logic_1164.all;
7
 
8 61 zero_gravi
library neorv32;
9
use neorv32.neorv32_package.all;
10
 
11 2 zero_gravi
package neorv32_application_image is
12
 
13 61 zero_gravi
  constant application_init_image : mem32_t := (
14 74 zero_gravi
    00000000 => x"30047073",
15 61 zero_gravi
    00000001 => x"80002117",
16
    00000002 => x"ff810113",
17
    00000003 => x"80000197",
18
    00000004 => x"7f418193",
19
    00000005 => x"00000517",
20 73 zero_gravi
    00000006 => x"11850513",
21 61 zero_gravi
    00000007 => x"30551073",
22
    00000008 => x"34151073",
23
    00000009 => x"30001073",
24
    00000010 => x"30401073",
25 73 zero_gravi
    00000011 => x"34401073",
26
    00000012 => x"32001073",
27
    00000013 => x"30601073",
28 61 zero_gravi
    00000014 => x"b0001073",
29
    00000015 => x"b8001073",
30
    00000016 => x"b0201073",
31
    00000017 => x"b8201073",
32
    00000018 => x"00000093",
33
    00000019 => x"00000213",
34
    00000020 => x"00000293",
35
    00000021 => x"00000313",
36
    00000022 => x"00000393",
37 73 zero_gravi
    00000023 => x"00000813",
38
    00000024 => x"00000893",
39
    00000025 => x"00000913",
40
    00000026 => x"00000993",
41
    00000027 => x"00000a13",
42
    00000028 => x"00000a93",
43
    00000029 => x"00000b13",
44
    00000030 => x"00000b93",
45
    00000031 => x"00000c13",
46
    00000032 => x"00000c93",
47
    00000033 => x"00000d13",
48
    00000034 => x"00000d93",
49
    00000035 => x"00000e13",
50
    00000036 => x"00000e93",
51
    00000037 => x"00000f13",
52
    00000038 => x"00000f93",
53
    00000039 => x"00000417",
54
    00000040 => x"d6440413",
55
    00000041 => x"00000497",
56
    00000042 => x"f5c48493",
57
    00000043 => x"00042023",
58
    00000044 => x"00440413",
59
    00000045 => x"fe941ce3",
60
    00000046 => x"00001597",
61 74 zero_gravi
    00000047 => x"ca858593",
62 73 zero_gravi
    00000048 => x"80000617",
63
    00000049 => x"f4060613",
64
    00000050 => x"80000697",
65
    00000051 => x"f3868693",
66
    00000052 => x"00d65c63",
67
    00000053 => x"00058703",
68
    00000054 => x"00e60023",
69
    00000055 => x"00158593",
70
    00000056 => x"00160613",
71
    00000057 => x"fedff06f",
72
    00000058 => x"80000717",
73
    00000059 => x"f1870713",
74
    00000060 => x"87418793",
75
    00000061 => x"00f75863",
76
    00000062 => x"00070023",
77
    00000063 => x"00170713",
78
    00000064 => x"ff5ff06f",
79
    00000065 => x"00000513",
80
    00000066 => x"00000593",
81
    00000067 => x"06c000ef",
82
    00000068 => x"34051073",
83
    00000069 => x"00000093",
84
    00000070 => x"00008463",
85
    00000071 => x"000080e7",
86
    00000072 => x"30047073",
87
    00000073 => x"10500073",
88
    00000074 => x"0000006f",
89
    00000075 => x"ff810113",
90
    00000076 => x"00812023",
91
    00000077 => x"00912223",
92
    00000078 => x"34202473",
93
    00000079 => x"02044663",
94
    00000080 => x"34102473",
95
    00000081 => x"00041483",
96
    00000082 => x"0034f493",
97
    00000083 => x"00240413",
98
    00000084 => x"34141073",
99
    00000085 => x"00300413",
100
    00000086 => x"00941863",
101
    00000087 => x"34102473",
102
    00000088 => x"00240413",
103
    00000089 => x"34141073",
104
    00000090 => x"00012403",
105
    00000091 => x"00412483",
106
    00000092 => x"00810113",
107
    00000093 => x"30200073",
108
    00000094 => x"00005537",
109
    00000095 => x"ff010113",
110
    00000096 => x"00000613",
111
    00000097 => x"00000593",
112
    00000098 => x"b0050513",
113
    00000099 => x"00112623",
114 74 zero_gravi
    00000100 => x"59c000ef",
115
    00000101 => x"6b4000ef",
116 73 zero_gravi
    00000102 => x"00050c63",
117 74 zero_gravi
    00000103 => x"514000ef",
118 73 zero_gravi
    00000104 => x"00001537",
119 74 zero_gravi
    00000105 => x"a9850513",
120
    00000106 => x"648000ef",
121 73 zero_gravi
    00000107 => x"020000ef",
122
    00000108 => x"00001537",
123 74 zero_gravi
    00000109 => x"a7450513",
124
    00000110 => x"638000ef",
125 73 zero_gravi
    00000111 => x"00c12083",
126
    00000112 => x"00100513",
127
    00000113 => x"01010113",
128
    00000114 => x"00008067",
129
    00000115 => x"ff010113",
130
    00000116 => x"00000513",
131
    00000117 => x"00000593",
132
    00000118 => x"00112623",
133
    00000119 => x"00812423",
134 74 zero_gravi
    00000120 => x"678000ef",
135 73 zero_gravi
    00000121 => x"00000513",
136
    00000122 => x"00150413",
137
    00000123 => x"00000593",
138
    00000124 => x"0ff57513",
139 74 zero_gravi
    00000125 => x"664000ef",
140 73 zero_gravi
    00000126 => x"0c800513",
141 74 zero_gravi
    00000127 => x"66c000ef",
142 73 zero_gravi
    00000128 => x"00040513",
143
    00000129 => x"fe5ff06f",
144
    00000130 => x"fc010113",
145
    00000131 => x"02112e23",
146
    00000132 => x"02512c23",
147
    00000133 => x"02612a23",
148
    00000134 => x"02712823",
149
    00000135 => x"02a12623",
150
    00000136 => x"02b12423",
151
    00000137 => x"02c12223",
152
    00000138 => x"02d12023",
153
    00000139 => x"00e12e23",
154
    00000140 => x"00f12c23",
155
    00000141 => x"01012a23",
156
    00000142 => x"01112823",
157
    00000143 => x"01c12623",
158
    00000144 => x"01d12423",
159
    00000145 => x"01e12223",
160
    00000146 => x"01f12023",
161 74 zero_gravi
    00000147 => x"341026f3",
162
    00000148 => x"34069073",
163 73 zero_gravi
    00000149 => x"342027f3",
164 74 zero_gravi
    00000150 => x"0407ce63",
165
    00000151 => x"0006d703",
166
    00000152 => x"01071713",
167
    00000153 => x"01075713",
168
    00000154 => x"00468593",
169
    00000155 => x"30102673",
170
    00000156 => x"00467613",
171
    00000157 => x"00060a63",
172
    00000158 => x"00377713",
173
    00000159 => x"00300613",
174
    00000160 => x"00c70463",
175
    00000161 => x"00268593",
176
    00000162 => x"34159073",
177
    00000163 => x"00b00713",
178
    00000164 => x"00f77663",
179
    00000165 => x"48800793",
180
    00000166 => x"0500006f",
181
    00000167 => x"00001737",
182
    00000168 => x"00279793",
183
    00000169 => x"ab470713",
184 73 zero_gravi
    00000170 => x"00e787b3",
185 74 zero_gravi
    00000171 => x"0007a783",
186
    00000172 => x"00078067",
187
    00000173 => x"80000737",
188
    00000174 => x"ffd74713",
189
    00000175 => x"00e787b3",
190
    00000176 => x"01c00713",
191
    00000177 => x"fcf768e3",
192
    00000178 => x"00001737",
193
    00000179 => x"00279793",
194
    00000180 => x"ae470713",
195
    00000181 => x"00e787b3",
196
    00000182 => x"0007a783",
197
    00000183 => x"00078067",
198
    00000184 => x"800007b7",
199
    00000185 => x"0007a783",
200
    00000186 => x"000780e7",
201
    00000187 => x"03c12083",
202
    00000188 => x"03812283",
203
    00000189 => x"03412303",
204
    00000190 => x"03012383",
205
    00000191 => x"02c12503",
206
    00000192 => x"02812583",
207
    00000193 => x"02412603",
208
    00000194 => x"02012683",
209
    00000195 => x"01c12703",
210
    00000196 => x"01812783",
211
    00000197 => x"01412803",
212
    00000198 => x"01012883",
213
    00000199 => x"00c12e03",
214
    00000200 => x"00812e83",
215
    00000201 => x"00412f03",
216
    00000202 => x"00012f83",
217
    00000203 => x"04010113",
218
    00000204 => x"30200073",
219
    00000205 => x"800007b7",
220
    00000206 => x"0047a783",
221
    00000207 => x"fadff06f",
222
    00000208 => x"8081a783",
223
    00000209 => x"fa5ff06f",
224
    00000210 => x"80c1a783",
225
    00000211 => x"f9dff06f",
226
    00000212 => x"8101a783",
227
    00000213 => x"f95ff06f",
228
    00000214 => x"8141a783",
229
    00000215 => x"f8dff06f",
230
    00000216 => x"8181a783",
231
    00000217 => x"f85ff06f",
232
    00000218 => x"81c1a783",
233
    00000219 => x"f7dff06f",
234
    00000220 => x"8201a783",
235
    00000221 => x"f75ff06f",
236
    00000222 => x"8241a783",
237
    00000223 => x"f6dff06f",
238
    00000224 => x"8281a783",
239
    00000225 => x"f65ff06f",
240
    00000226 => x"82c1a783",
241
    00000227 => x"f5dff06f",
242
    00000228 => x"8301a783",
243
    00000229 => x"f55ff06f",
244
    00000230 => x"8341a783",
245
    00000231 => x"f4dff06f",
246
    00000232 => x"8381a783",
247
    00000233 => x"f45ff06f",
248
    00000234 => x"83c1a783",
249
    00000235 => x"f3dff06f",
250
    00000236 => x"8401a783",
251
    00000237 => x"f35ff06f",
252
    00000238 => x"8441a783",
253
    00000239 => x"f2dff06f",
254
    00000240 => x"8481a783",
255
    00000241 => x"f25ff06f",
256
    00000242 => x"84c1a783",
257
    00000243 => x"f1dff06f",
258
    00000244 => x"8501a783",
259
    00000245 => x"f15ff06f",
260
    00000246 => x"8541a783",
261
    00000247 => x"f0dff06f",
262
    00000248 => x"8581a783",
263
    00000249 => x"f05ff06f",
264
    00000250 => x"85c1a783",
265
    00000251 => x"efdff06f",
266
    00000252 => x"8601a783",
267
    00000253 => x"ef5ff06f",
268
    00000254 => x"8641a783",
269
    00000255 => x"eedff06f",
270
    00000256 => x"8681a783",
271
    00000257 => x"ee5ff06f",
272
    00000258 => x"86c1a783",
273
    00000259 => x"eddff06f",
274
    00000260 => x"8701a783",
275
    00000261 => x"ed5ff06f",
276
    00000262 => x"fe010113",
277
    00000263 => x"01212823",
278
    00000264 => x"00050913",
279
    00000265 => x"00001537",
280
    00000266 => x"00912a23",
281
    00000267 => x"b5850513",
282
    00000268 => x"000014b7",
283
    00000269 => x"00812c23",
284
    00000270 => x"01312623",
285
    00000271 => x"00112e23",
286
    00000272 => x"01c00413",
287
    00000273 => x"3ac000ef",
288
    00000274 => x"d5048493",
289
    00000275 => x"ffc00993",
290
    00000276 => x"008957b3",
291
    00000277 => x"00f7f793",
292
    00000278 => x"00f487b3",
293
    00000279 => x"0007c503",
294
    00000280 => x"ffc40413",
295
    00000281 => x"374000ef",
296
    00000282 => x"ff3414e3",
297
    00000283 => x"01c12083",
298
    00000284 => x"01812403",
299
    00000285 => x"01412483",
300
    00000286 => x"01012903",
301
    00000287 => x"00c12983",
302
    00000288 => x"02010113",
303
    00000289 => x"00008067",
304
    00000290 => x"ff010113",
305
    00000291 => x"00112623",
306
    00000292 => x"00812423",
307
    00000293 => x"00912223",
308
    00000294 => x"284000ef",
309
    00000295 => x"1c050863",
310
    00000296 => x"00001537",
311
    00000297 => x"b5c50513",
312
    00000298 => x"348000ef",
313
    00000299 => x"34202473",
314
    00000300 => x"00900713",
315
    00000301 => x"00f47793",
316
    00000302 => x"03078493",
317
    00000303 => x"00f77463",
318
    00000304 => x"05778493",
319
    00000305 => x"00b00793",
320
    00000306 => x"0087ee63",
321
    00000307 => x"00001737",
322
    00000308 => x"00241793",
323
    00000309 => x"d2070713",
324
    00000310 => x"00e787b3",
325
    00000311 => x"0007a783",
326
    00000312 => x"00078067",
327
    00000313 => x"800007b7",
328
    00000314 => x"00b78713",
329
    00000315 => x"14e40e63",
330
    00000316 => x"02876a63",
331
    00000317 => x"00378713",
332
    00000318 => x"12e40c63",
333
    00000319 => x"00778793",
334
    00000320 => x"12f40e63",
335
    00000321 => x"00001537",
336
    00000322 => x"cbc50513",
337
    00000323 => x"2e4000ef",
338
    00000324 => x"00040513",
339
    00000325 => x"f05ff0ef",
340
    00000326 => x"00100793",
341
    00000327 => x"08f40c63",
342
    00000328 => x"0280006f",
343
    00000329 => x"ff07c793",
344
    00000330 => x"00f407b3",
345
    00000331 => x"00f00713",
346
    00000332 => x"fcf76ae3",
347
    00000333 => x"00001537",
348
    00000334 => x"cac50513",
349
    00000335 => x"2b4000ef",
350
    00000336 => x"00048513",
351
    00000337 => x"294000ef",
352
    00000338 => x"ffd47413",
353
    00000339 => x"00500793",
354
    00000340 => x"06f40263",
355 73 zero_gravi
    00000341 => x"00001537",
356 74 zero_gravi
    00000342 => x"d0050513",
357
    00000343 => x"294000ef",
358
    00000344 => x"34002573",
359
    00000345 => x"eb5ff0ef",
360
    00000346 => x"00001537",
361
    00000347 => x"d0850513",
362
    00000348 => x"280000ef",
363
    00000349 => x"34302573",
364
    00000350 => x"ea1ff0ef",
365
    00000351 => x"00812403",
366
    00000352 => x"00c12083",
367
    00000353 => x"00412483",
368
    00000354 => x"00001537",
369
    00000355 => x"d1450513",
370
    00000356 => x"01010113",
371
    00000357 => x"25c0006f",
372
    00000358 => x"00001537",
373
    00000359 => x"b6450513",
374
    00000360 => x"250000ef",
375
    00000361 => x"fb1ff06f",
376
    00000362 => x"00001537",
377
    00000363 => x"b8450513",
378
    00000364 => x"240000ef",
379
    00000365 => x"f7c02783",
380
    00000366 => x"0a07d463",
381
    00000367 => x"0017f793",
382
    00000368 => x"08078a63",
383
    00000369 => x"00001537",
384
    00000370 => x"cd450513",
385
    00000371 => x"fd5ff06f",
386
    00000372 => x"00001537",
387
    00000373 => x"ba050513",
388
    00000374 => x"fc9ff06f",
389
    00000375 => x"00001537",
390
    00000376 => x"bb450513",
391
    00000377 => x"fbdff06f",
392
    00000378 => x"00001537",
393
    00000379 => x"bc050513",
394
    00000380 => x"fb1ff06f",
395
    00000381 => x"00001537",
396
    00000382 => x"bd850513",
397
    00000383 => x"fb5ff06f",
398
    00000384 => x"00001537",
399
    00000385 => x"bec50513",
400
    00000386 => x"f99ff06f",
401
    00000387 => x"00001537",
402
    00000388 => x"c0850513",
403
    00000389 => x"f9dff06f",
404
    00000390 => x"00001537",
405
    00000391 => x"c1c50513",
406
    00000392 => x"f81ff06f",
407
    00000393 => x"00001537",
408
    00000394 => x"c3c50513",
409
    00000395 => x"f75ff06f",
410
    00000396 => x"00001537",
411
    00000397 => x"c5c50513",
412
    00000398 => x"f69ff06f",
413
    00000399 => x"00001537",
414
    00000400 => x"c7850513",
415
    00000401 => x"f5dff06f",
416
    00000402 => x"00001537",
417
    00000403 => x"c9050513",
418
    00000404 => x"f51ff06f",
419
    00000405 => x"00001537",
420
    00000406 => x"ce450513",
421
    00000407 => x"f45ff06f",
422
    00000408 => x"00001537",
423
    00000409 => x"cf450513",
424
    00000410 => x"f39ff06f",
425
    00000411 => x"00c12083",
426
    00000412 => x"00812403",
427
    00000413 => x"00412483",
428
    00000414 => x"01010113",
429
    00000415 => x"00008067",
430
    00000416 => x"01f00793",
431
    00000417 => x"02a7e263",
432
    00000418 => x"800007b7",
433
    00000419 => x"00078793",
434
    00000420 => x"00251513",
435
    00000421 => x"00a78533",
436
    00000422 => x"48800793",
437
    00000423 => x"00f52023",
438
    00000424 => x"00000513",
439
    00000425 => x"00008067",
440
    00000426 => x"00100513",
441
    00000427 => x"00008067",
442
    00000428 => x"ff010113",
443
    00000429 => x"00112623",
444
    00000430 => x"00812423",
445
    00000431 => x"00912223",
446
    00000432 => x"20800793",
447
    00000433 => x"30579073",
448
    00000434 => x"00000793",
449
    00000435 => x"30479073",
450
    00000436 => x"34479073",
451
    00000437 => x"f6002e23",
452
    00000438 => x"00000413",
453
    00000439 => x"01d00493",
454
    00000440 => x"00040513",
455
    00000441 => x"00140413",
456
    00000442 => x"0ff47413",
457
    00000443 => x"f95ff0ef",
458
    00000444 => x"fe9418e3",
459
    00000445 => x"00c12083",
460
    00000446 => x"00812403",
461
    00000447 => x"00412483",
462
    00000448 => x"01010113",
463
    00000449 => x"00008067",
464
    00000450 => x"f9402583",
465
    00000451 => x"f9002503",
466
    00000452 => x"f9402783",
467
    00000453 => x"fef59ae3",
468
    00000454 => x"00008067",
469
    00000455 => x"fe802503",
470
    00000456 => x"01255513",
471
    00000457 => x"00157513",
472
    00000458 => x"00008067",
473
    00000459 => x"ff010113",
474
    00000460 => x"00812423",
475
    00000461 => x"00912223",
476
    00000462 => x"00112623",
477
    00000463 => x"fa002023",
478
    00000464 => x"fe002783",
479
    00000465 => x"00058413",
480
    00000466 => x"00151593",
481
    00000467 => x"00078513",
482
    00000468 => x"00060493",
483
    00000469 => x"274000ef",
484
    00000470 => x"01051513",
485
    00000471 => x"000017b7",
486
    00000472 => x"01055513",
487
    00000473 => x"00000713",
488
    00000474 => x"ffe78793",
489
    00000475 => x"04a7e463",
490
    00000476 => x"0034f793",
491
    00000477 => x"00347413",
492
    00000478 => x"fff50513",
493
    00000479 => x"01479793",
494
    00000480 => x"01641413",
495
    00000481 => x"00f567b3",
496
    00000482 => x"0087e7b3",
497
    00000483 => x"01871713",
498
    00000484 => x"00c12083",
499
    00000485 => x"00812403",
500
    00000486 => x"00e7e7b3",
501
    00000487 => x"10000737",
502
    00000488 => x"00e7e7b3",
503
    00000489 => x"faf02023",
504
    00000490 => x"00412483",
505
    00000491 => x"01010113",
506
    00000492 => x"00008067",
507
    00000493 => x"ffe70693",
508
    00000494 => x"0fd6f693",
509
    00000495 => x"00069a63",
510
    00000496 => x"00355513",
511
    00000497 => x"00170713",
512
    00000498 => x"0ff77713",
513
    00000499 => x"fa1ff06f",
514
    00000500 => x"00155513",
515
    00000501 => x"ff1ff06f",
516
    00000502 => x"00040737",
517
    00000503 => x"fa002783",
518
    00000504 => x"00e7f7b3",
519
    00000505 => x"fe079ce3",
520
    00000506 => x"faa02223",
521
    00000507 => x"00008067",
522
    00000508 => x"ff010113",
523
    00000509 => x"00812423",
524
    00000510 => x"01212023",
525
    00000511 => x"00112623",
526
    00000512 => x"00912223",
527
    00000513 => x"00050413",
528
    00000514 => x"00a00913",
529
    00000515 => x"00044483",
530
    00000516 => x"00140413",
531
    00000517 => x"00049e63",
532
    00000518 => x"00c12083",
533
    00000519 => x"00812403",
534
    00000520 => x"00412483",
535
    00000521 => x"00012903",
536
    00000522 => x"01010113",
537
    00000523 => x"00008067",
538
    00000524 => x"01249663",
539
    00000525 => x"00d00513",
540
    00000526 => x"fa1ff0ef",
541
    00000527 => x"00048513",
542
    00000528 => x"f99ff0ef",
543
    00000529 => x"fc9ff06f",
544
    00000530 => x"fe802503",
545
    00000531 => x"01055513",
546
    00000532 => x"00157513",
547
    00000533 => x"00008067",
548
    00000534 => x"fc000793",
549
    00000535 => x"00a7a423",
550
    00000536 => x"00b7a623",
551
    00000537 => x"00008067",
552
    00000538 => x"fe010113",
553
    00000539 => x"00a12623",
554
    00000540 => x"fe002503",
555
    00000541 => x"3e800593",
556
    00000542 => x"00112e23",
557
    00000543 => x"00812c23",
558
    00000544 => x"00912a23",
559
    00000545 => x"144000ef",
560
    00000546 => x"00c12603",
561
    00000547 => x"00000693",
562
    00000548 => x"00000593",
563
    00000549 => x"09c000ef",
564
    00000550 => x"fe802783",
565
    00000551 => x"00020737",
566
    00000552 => x"00050413",
567
    00000553 => x"00e7f7b3",
568
    00000554 => x"00058493",
569
    00000555 => x"02078e63",
570
    00000556 => x"e59ff0ef",
571
    00000557 => x"00850433",
572
    00000558 => x"00a43533",
573
    00000559 => x"009584b3",
574
    00000560 => x"009504b3",
575
    00000561 => x"e45ff0ef",
576
    00000562 => x"fe95eee3",
577
    00000563 => x"00b49463",
578
    00000564 => x"fe856ae3",
579
    00000565 => x"01c12083",
580
    00000566 => x"01812403",
581
    00000567 => x"01412483",
582
    00000568 => x"02010113",
583
    00000569 => x"00008067",
584
    00000570 => x"01c59493",
585
    00000571 => x"00455513",
586
    00000572 => x"00a4e533",
587
    00000573 => x"00050a63",
588
    00000574 => x"00050863",
589
    00000575 => x"fff50513",
590
    00000576 => x"00000013",
591
    00000577 => x"ff1ff06f",
592
    00000578 => x"fcdff06f",
593
    00000579 => x"00050613",
594
    00000580 => x"00000513",
595
    00000581 => x"0015f693",
596
    00000582 => x"00068463",
597
    00000583 => x"00c50533",
598
    00000584 => x"0015d593",
599
    00000585 => x"00161613",
600
    00000586 => x"fe0596e3",
601
    00000587 => x"00008067",
602
    00000588 => x"00050313",
603
    00000589 => x"ff010113",
604
    00000590 => x"00060513",
605
    00000591 => x"00068893",
606
    00000592 => x"00112623",
607
    00000593 => x"00030613",
608
    00000594 => x"00050693",
609
    00000595 => x"00000713",
610
    00000596 => x"00000793",
611
    00000597 => x"00000813",
612
    00000598 => x"0016fe13",
613
    00000599 => x"00171e93",
614
    00000600 => x"000e0c63",
615
    00000601 => x"01060e33",
616
    00000602 => x"010e3833",
617
    00000603 => x"00e787b3",
618
    00000604 => x"00f807b3",
619
    00000605 => x"000e0813",
620
    00000606 => x"01f65713",
621
    00000607 => x"0016d693",
622
    00000608 => x"00eee733",
623
    00000609 => x"00161613",
624
    00000610 => x"fc0698e3",
625
    00000611 => x"00058663",
626
    00000612 => x"f7dff0ef",
627
    00000613 => x"00a787b3",
628
    00000614 => x"00088a63",
629
    00000615 => x"00030513",
630
    00000616 => x"00088593",
631
    00000617 => x"f69ff0ef",
632
    00000618 => x"00f507b3",
633
    00000619 => x"00c12083",
634
    00000620 => x"00080513",
635
    00000621 => x"00078593",
636
    00000622 => x"01010113",
637
    00000623 => x"00008067",
638
    00000624 => x"06054063",
639
    00000625 => x"0605c663",
640
    00000626 => x"00058613",
641
    00000627 => x"00050593",
642
    00000628 => x"fff00513",
643
    00000629 => x"02060c63",
644
    00000630 => x"00100693",
645
    00000631 => x"00b67a63",
646
    00000632 => x"00c05863",
647
    00000633 => x"00161613",
648
    00000634 => x"00169693",
649
    00000635 => x"feb66ae3",
650
    00000636 => x"00000513",
651
    00000637 => x"00c5e663",
652
    00000638 => x"40c585b3",
653
    00000639 => x"00d56533",
654
    00000640 => x"0016d693",
655
    00000641 => x"00165613",
656
    00000642 => x"fe0696e3",
657
    00000643 => x"00008067",
658
    00000644 => x"00008293",
659
    00000645 => x"fb5ff0ef",
660
    00000646 => x"00058513",
661
    00000647 => x"00028067",
662
    00000648 => x"40a00533",
663
    00000649 => x"00b04863",
664
    00000650 => x"40b005b3",
665
    00000651 => x"f9dff06f",
666
    00000652 => x"40b005b3",
667
    00000653 => x"00008293",
668
    00000654 => x"f91ff0ef",
669
    00000655 => x"40a00533",
670
    00000656 => x"00028067",
671
    00000657 => x"00008293",
672
    00000658 => x"0005ca63",
673
    00000659 => x"00054c63",
674
    00000660 => x"f79ff0ef",
675
    00000661 => x"00058513",
676
    00000662 => x"00028067",
677
    00000663 => x"40b005b3",
678
    00000664 => x"fe0558e3",
679
    00000665 => x"40a00533",
680
    00000666 => x"f61ff0ef",
681
    00000667 => x"40b00533",
682
    00000668 => x"00028067",
683
    00000669 => x"6f727245",
684
    00000670 => x"4e202172",
685
    00000671 => x"5047206f",
686
    00000672 => x"75204f49",
687
    00000673 => x"2074696e",
688
    00000674 => x"746e7973",
689
    00000675 => x"69736568",
690
    00000676 => x"2164657a",
691
    00000677 => x"0000000a",
692
    00000678 => x"6e696c42",
693
    00000679 => x"676e696b",
694
    00000680 => x"44454c20",
695
    00000681 => x"6d656420",
696
    00000682 => x"7270206f",
697
    00000683 => x"6172676f",
698
    00000684 => x"00000a6d",
699
    00000685 => x"000002e0",
700
    00000686 => x"00000334",
701
    00000687 => x"00000340",
702
    00000688 => x"00000348",
703
    00000689 => x"00000350",
704
    00000690 => x"00000358",
705
    00000691 => x"00000360",
706
    00000692 => x"00000368",
707
    00000693 => x"00000370",
708
    00000694 => x"00000294",
709
    00000695 => x"00000294",
710
    00000696 => x"00000378",
711
    00000697 => x"00000380",
712
    00000698 => x"00000294",
713
    00000699 => x"00000294",
714
    00000700 => x"00000294",
715
    00000701 => x"00000388",
716
    00000702 => x"00000294",
717
    00000703 => x"00000294",
718
    00000704 => x"00000294",
719
    00000705 => x"00000390",
720
    00000706 => x"00000294",
721
    00000707 => x"00000294",
722
    00000708 => x"00000294",
723
    00000709 => x"00000294",
724
    00000710 => x"00000398",
725
    00000711 => x"000003a0",
726
    00000712 => x"000003a8",
727
    00000713 => x"000003b0",
728
    00000714 => x"000003b8",
729
    00000715 => x"000003c0",
730
    00000716 => x"000003c8",
731
    00000717 => x"000003d0",
732
    00000718 => x"000003d8",
733
    00000719 => x"000003e0",
734
    00000720 => x"000003e8",
735
    00000721 => x"000003f0",
736
    00000722 => x"000003f8",
737
    00000723 => x"00000400",
738
    00000724 => x"00000408",
739
    00000725 => x"00000410",
740
    00000726 => x"00007830",
741
    00000727 => x"4554523c",
742
    00000728 => x"0000203e",
743 73 zero_gravi
    00000729 => x"74736e49",
744
    00000730 => x"74637572",
745
    00000731 => x"206e6f69",
746 74 zero_gravi
    00000732 => x"72646461",
747
    00000733 => x"20737365",
748
    00000734 => x"6173696d",
749
    00000735 => x"6e67696c",
750
    00000736 => x"00006465",
751
    00000737 => x"74736e49",
752
    00000738 => x"74637572",
753
    00000739 => x"206e6f69",
754
    00000740 => x"65636361",
755
    00000741 => x"66207373",
756
    00000742 => x"746c7561",
757
    00000743 => x"00000000",
758
    00000744 => x"656c6c49",
759
    00000745 => x"206c6167",
760
    00000746 => x"74736e69",
761
    00000747 => x"74637572",
762
    00000748 => x"006e6f69",
763
    00000749 => x"61657242",
764
    00000750 => x"696f706b",
765
    00000751 => x"0000746e",
766
    00000752 => x"64616f4c",
767
    00000753 => x"64646120",
768
    00000754 => x"73736572",
769
    00000755 => x"73696d20",
770
    00000756 => x"67696c61",
771
    00000757 => x"0064656e",
772
    00000758 => x"64616f4c",
773
    00000759 => x"63636120",
774
    00000760 => x"20737365",
775
    00000761 => x"6c756166",
776
    00000762 => x"00000074",
777
    00000763 => x"726f7453",
778
    00000764 => x"64612065",
779
    00000765 => x"73657264",
780
    00000766 => x"696d2073",
781
    00000767 => x"696c6173",
782
    00000768 => x"64656e67",
783
    00000769 => x"00000000",
784
    00000770 => x"726f7453",
785
    00000771 => x"63612065",
786
    00000772 => x"73736563",
787
    00000773 => x"75616620",
788
    00000774 => x"0000746c",
789 73 zero_gravi
    00000775 => x"69766e45",
790
    00000776 => x"6d6e6f72",
791
    00000777 => x"20746e65",
792
    00000778 => x"6c6c6163",
793
    00000779 => x"6f726620",
794 74 zero_gravi
    00000780 => x"2d55206d",
795 73 zero_gravi
    00000781 => x"65646f6d",
796
    00000782 => x"00000000",
797 74 zero_gravi
    00000783 => x"69766e45",
798
    00000784 => x"6d6e6f72",
799
    00000785 => x"20746e65",
800
    00000786 => x"6c6c6163",
801
    00000787 => x"6f726620",
802
    00000788 => x"2d4d206d",
803
    00000789 => x"65646f6d",
804
    00000790 => x"00000000",
805
    00000791 => x"6863614d",
806
    00000792 => x"20656e69",
807
    00000793 => x"74666f73",
808
    00000794 => x"65726177",
809
    00000795 => x"746e6920",
810
    00000796 => x"75727265",
811
    00000797 => x"00007470",
812
    00000798 => x"6863614d",
813
    00000799 => x"20656e69",
814
    00000800 => x"656d6974",
815
    00000801 => x"6e692072",
816
    00000802 => x"72726574",
817
    00000803 => x"00747075",
818
    00000804 => x"6863614d",
819
    00000805 => x"20656e69",
820
    00000806 => x"65747865",
821
    00000807 => x"6c616e72",
822
    00000808 => x"746e6920",
823
    00000809 => x"75727265",
824
    00000810 => x"00007470",
825
    00000811 => x"74736146",
826
    00000812 => x"746e6920",
827
    00000813 => x"75727265",
828
    00000814 => x"00207470",
829
    00000815 => x"6e6b6e55",
830
    00000816 => x"206e776f",
831
    00000817 => x"70617274",
832
    00000818 => x"75616320",
833
    00000819 => x"203a6573",
834
    00000820 => x"00000000",
835
    00000821 => x"49545b20",
836
    00000822 => x"554f454d",
837
    00000823 => x"52455f54",
838
    00000824 => x"00005d52",
839
    00000825 => x"45445b20",
840
    00000826 => x"45434956",
841
    00000827 => x"5252455f",
842
    00000828 => x"0000005d",
843
    00000829 => x"4d505b20",
844
    00000830 => x"52455f50",
845
    00000831 => x"00005d52",
846
    00000832 => x"50204020",
847
    00000833 => x"00003d43",
848
    00000834 => x"544d202c",
849
    00000835 => x"3d4c4156",
850
    00000836 => x"00000000",
851
    00000837 => x"522f3c20",
852
    00000838 => x"0a3e4554",
853
    00000839 => x"00000000",
854
    00000840 => x"00000598",
855
    00000841 => x"000005a8",
856
    00000842 => x"000005d0",
857
    00000843 => x"000005dc",
858
    00000844 => x"000005e8",
859
    00000845 => x"000005f4",
860
    00000846 => x"00000600",
861
    00000847 => x"0000060c",
862
    00000848 => x"00000618",
863
    00000849 => x"00000504",
864
    00000850 => x"00000504",
865
    00000851 => x"00000624",
866
    00000852 => x"33323130",
867
    00000853 => x"37363534",
868
    00000854 => x"42413938",
869
    00000855 => x"46454443"
870 2 zero_gravi
  );
871
 
872
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.