OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_bus.vhd] - Blame information for rev 74

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Interface Unit >>                                                            #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # Instruction and data bus interfaces and physical memory protection (PMP).                     #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
9 2 zero_gravi
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_bus is
45
  generic (
46 62 zero_gravi
    CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
47
    CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
48 15 zero_gravi
    -- Physical memory protection (PMP) --
49 73 zero_gravi
    PMP_NUM_REGIONS       : natural; -- number of regions (0..16)
50
    PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
51 2 zero_gravi
  );
52
  port (
53
    -- global control --
54 70 zero_gravi
    clk_i         : in  std_ulogic; -- global clock, rising edge
55
    rstn_i        : in  std_ulogic := '0'; -- global reset, low-active, async
56
    ctrl_i        : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
57 12 zero_gravi
    -- cpu instruction fetch interface --
58 70 zero_gravi
    fetch_pc_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
59
    instr_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
60
    i_wait_o      : out std_ulogic; -- wait for fetch to complete
61 12 zero_gravi
    --
62 70 zero_gravi
    ma_instr_o    : out std_ulogic; -- misaligned instruction address
63
    be_instr_o    : out std_ulogic; -- bus error on instruction access
64 12 zero_gravi
    -- cpu data access interface --
65 70 zero_gravi
    addr_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
66
    wdata_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
67
    rdata_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
68
    mar_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
69
    d_wait_o      : out std_ulogic; -- wait for access to complete
70 12 zero_gravi
    --
71 70 zero_gravi
    excl_state_o  : out std_ulogic; -- atomic/exclusive access status
72
    ma_load_o     : out std_ulogic; -- misaligned load data address
73
    ma_store_o    : out std_ulogic; -- misaligned store data address
74
    be_load_o     : out std_ulogic; -- bus error on load data access
75
    be_store_o    : out std_ulogic; -- bus error on store data access
76 15 zero_gravi
    -- physical memory protection --
77 70 zero_gravi
    pmp_addr_i    : in  pmp_addr_if_t; -- addresses
78
    pmp_ctrl_i    : in  pmp_ctrl_if_t; -- configs
79 12 zero_gravi
    -- instruction bus --
80 70 zero_gravi
    i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
81
    i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
82
    i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
83
    i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
84
    i_bus_we_o    : out std_ulogic; -- write enable
85
    i_bus_re_o    : out std_ulogic; -- read enable
86
    i_bus_lock_o  : out std_ulogic; -- exclusive access request
87
    i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
88
    i_bus_err_i   : in  std_ulogic; -- bus transfer error
89
    i_bus_fence_o : out std_ulogic; -- fence operation
90 12 zero_gravi
    -- data bus --
91 70 zero_gravi
    d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
92
    d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
93
    d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
94
    d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
95
    d_bus_we_o    : out std_ulogic; -- write enable
96
    d_bus_re_o    : out std_ulogic; -- read enable
97
    d_bus_lock_o  : out std_ulogic; -- exclusive access request
98
    d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
99
    d_bus_err_i   : in  std_ulogic; -- bus transfer error
100
    d_bus_fence_o : out std_ulogic  -- fence operation
101 2 zero_gravi
  );
102
end neorv32_cpu_bus;
103
 
104
architecture neorv32_cpu_bus_rtl of neorv32_cpu_bus is
105
 
106 15 zero_gravi
  -- PMP modes --
107
  constant pmp_off_mode_c   : std_ulogic_vector(1 downto 0) := "00"; -- null region (disabled)
108 73 zero_gravi
  constant pmp_tor_mode_c   : std_ulogic_vector(1 downto 0) := "01"; -- top of range
109 36 zero_gravi
--constant pmp_na4_mode_c   : std_ulogic_vector(1 downto 0) := "10"; -- naturally aligned four-byte region
110 73 zero_gravi
--constant pmp_napot_mode_c : std_ulogic_vector(1 downto 0) := "11"; -- naturally aligned power-of-two region (>= 8 bytes)
111 15 zero_gravi
 
112
  -- PMP configuration register bits --
113
  constant pmp_cfg_r_c  : natural := 0; -- read permit
114
  constant pmp_cfg_w_c  : natural := 1; -- write permit
115
  constant pmp_cfg_x_c  : natural := 2; -- execute permit
116
  constant pmp_cfg_al_c : natural := 3; -- mode bit low
117
  constant pmp_cfg_ah_c : natural := 4; -- mode bit high
118
  constant pmp_cfg_l_c  : natural := 7; -- locked entry
119
 
120 73 zero_gravi
  -- PMP minimal granularity --
121
  constant pmp_lsb_c : natural := index_size_f(PMP_MIN_GRANULARITY);
122
 
123 74 zero_gravi
  -- data memory address register --
124
  signal mar : std_ulogic_vector(data_width_c-1 downto 0);
125 2 zero_gravi
 
126 12 zero_gravi
  -- data access --
127
  signal d_bus_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- write data
128
  signal d_bus_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- read data
129 57 zero_gravi
  signal rdata_align : std_ulogic_vector(data_width_c-1 downto 0); -- read-data alignment
130 12 zero_gravi
  signal d_bus_ben   : std_ulogic_vector(3 downto 0); -- write data byte enable
131 2 zero_gravi
 
132
  -- misaligned access? --
133 12 zero_gravi
  signal d_misaligned, i_misaligned : std_ulogic;
134 2 zero_gravi
 
135 12 zero_gravi
  -- bus arbiter --
136
  type bus_arbiter_t is record
137
    rd_req    : std_ulogic; -- read access in progress
138
    wr_req    : std_ulogic; -- write access in progress
139
    err_align : std_ulogic; -- alignment error
140
    err_bus   : std_ulogic; -- bus access error
141
  end record;
142
  signal i_arbiter, d_arbiter : bus_arbiter_t;
143
 
144 57 zero_gravi
  -- atomic/exclusive access - reservation controller --
145
  signal exclusive_lock        : std_ulogic;
146
  signal exclusive_lock_status : std_ulogic_vector(data_width_c-1 downto 0); -- read data
147
 
148 15 zero_gravi
  -- physical memory protection --
149
  type pmp_t is record
150 73 zero_gravi
    i_match  : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for instruction interface
151
    d_match  : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for data interface
152
    if_fault : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for fetch operation
153
    ld_fault : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for load operation
154
    st_fault : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for store operation
155 15 zero_gravi
  end record;
156
  signal pmp : pmp_t;
157
 
158 47 zero_gravi
  -- memory control signal buffer (when using PMP) --
159
  signal d_bus_we, d_bus_we_buf : std_ulogic;
160
  signal d_bus_re, d_bus_re_buf : std_ulogic;
161
  signal i_bus_re, i_bus_re_buf : std_ulogic;
162
 
163
  -- pmp faults anyone? --
164 15 zero_gravi
  signal if_pmp_fault : std_ulogic; -- pmp instruction access fault
165
  signal ld_pmp_fault : std_ulogic; -- pmp load access fault
166
  signal st_pmp_fault : std_ulogic; -- pmp store access fault
167
 
168 2 zero_gravi
begin
169
 
170 47 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
171
  -- -------------------------------------------------------------------------------------------
172 64 zero_gravi
  assert not (PMP_NUM_REGIONS > pmp_num_regions_critical_c) report "NEORV32 CPU CONFIG WARNING! Number of implemented PMP regions (PMP_NUM_REGIONS = " &
173
  integer'image(PMP_NUM_REGIONS) & ") beyond critical limit (pmp_num_regions_critical_c = " & integer'image(pmp_num_regions_critical_c) &
174
  "). Inserting another register stage (that will increase memory latency by +1 cycle)." severity warning;
175 47 zero_gravi
 
176
 
177 12 zero_gravi
  -- Data Interface: Access Address ---------------------------------------------------------
178 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
179 56 zero_gravi
  mem_adr_reg: process(rstn_i, clk_i)
180 2 zero_gravi
  begin
181 56 zero_gravi
    if (rstn_i = '0') then
182
      mar <= (others => def_rst_val_c);
183
    elsif rising_edge(clk_i) then
184 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
185 12 zero_gravi
        mar <= addr_i;
186 2 zero_gravi
      end if;
187
    end if;
188
  end process mem_adr_reg;
189
 
190 71 zero_gravi
  -- address read-back for exception controller --
191 12 zero_gravi
  mar_o <= mar;
192 2 zero_gravi
 
193
 
194 12 zero_gravi
  -- Data Interface: Write Data -------------------------------------------------------------
195 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
196 56 zero_gravi
  mem_do_reg: process(rstn_i, clk_i)
197 2 zero_gravi
  begin
198 56 zero_gravi
    if (rstn_i = '0') then
199 74 zero_gravi
      d_bus_wdata <= (others => def_rst_val_c);
200
      d_bus_ben   <= (others => def_rst_val_c);
201 56 zero_gravi
    elsif rising_edge(clk_i) then
202 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
203 74 zero_gravi
        -- byte enable and data alignment --
204
        case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
205
          when "00" => -- byte
206
            d_bus_wdata(07 downto 00) <= wdata_i(7 downto 0);
207
            d_bus_wdata(15 downto 08) <= wdata_i(7 downto 0);
208
            d_bus_wdata(23 downto 16) <= wdata_i(7 downto 0);
209
            d_bus_wdata(31 downto 24) <= wdata_i(7 downto 0);
210
            case addr_i(1 downto 0) is
211
              when "00"   => d_bus_ben <= "0001";
212
              when "01"   => d_bus_ben <= "0010";
213
              when "10"   => d_bus_ben <= "0100";
214
              when others => d_bus_ben <= "1000";
215
            end case;
216
          when "01" => -- half-word
217
            d_bus_wdata(31 downto 16) <= wdata_i(15 downto 0);
218
            d_bus_wdata(15 downto 00) <= wdata_i(15 downto 0);
219
            if (addr_i(1) = '0') then
220
              d_bus_ben <= "0011"; -- low half-word
221
            else
222
              d_bus_ben <= "1100"; -- high half-word
223
            end if;
224
          when others => -- word
225
            d_bus_wdata <= wdata_i;
226
            d_bus_ben   <= "1111"; -- full word
227
        end case;
228 2 zero_gravi
      end if;
229
    end if;
230
  end process mem_do_reg;
231
 
232
 
233 12 zero_gravi
  -- Data Interface: Read Data --------------------------------------------------------------
234 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
235 74 zero_gravi
  read_align: process(rstn_i, clk_i)
236
    variable shifted_data_v : std_ulogic_vector(31 downto 0);
237 2 zero_gravi
  begin
238 56 zero_gravi
    if (rstn_i = '0') then
239 74 zero_gravi
      rdata_align <= (others => def_rst_val_c);
240 56 zero_gravi
    elsif rising_edge(clk_i) then
241 74 zero_gravi
      -- input data alignment and sign extension --
242
      case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is
243
        when "00" => -- byte
244
          case mar(1 downto 0) is
245
            when "00" => -- byte 0
246
              rdata_align(07 downto 00) <= d_bus_rdata(07 downto 00);
247
              rdata_align(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and d_bus_rdata(07))); -- sign extension
248
            when "01" => -- byte 1
249
              rdata_align(07 downto 00) <= d_bus_rdata(15 downto 08);
250
              rdata_align(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and d_bus_rdata(15))); -- sign extension
251
            when "10" => -- byte 2
252
              rdata_align(07 downto 00) <= d_bus_rdata(23 downto 16);
253
              rdata_align(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and d_bus_rdata(23))); -- sign extension
254
            when others => -- byte 3
255
              rdata_align(07 downto 00) <= d_bus_rdata(31 downto 24);
256
              rdata_align(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and d_bus_rdata(31))); -- sign extension
257
          end case;
258
        when "01" => -- half-word
259
          if (mar(1) = '0') then
260
            rdata_align(15 downto 00) <= d_bus_rdata(15 downto 00); -- low half-word
261
            rdata_align(31 downto 16) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and d_bus_rdata(15))); -- sign extension
262
          else
263
            rdata_align(15 downto 00) <= d_bus_rdata(31 downto 16); -- high half-word
264
            rdata_align(31 downto 16) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and d_bus_rdata(31))); -- sign extension
265
          end if;
266
        when others => -- word
267
          rdata_align <= d_bus_rdata; -- full word
268
      end case;
269 2 zero_gravi
    end if;
270
  end process read_align;
271
 
272 57 zero_gravi
  -- insert exclusive lock status for SC operations only --
273
  rdata_o <= exclusive_lock_status when (CPU_EXTENSION_RISCV_A = true) and (ctrl_i(ctrl_bus_ch_lock_c) = '1') else rdata_align;
274 2 zero_gravi
 
275 57 zero_gravi
 
276 74 zero_gravi
  -- Data Interface: Arbiter (controlled by pipeline back-end) ------------------------------
277 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
278 39 zero_gravi
  data_access_arbiter: process(rstn_i, clk_i)
279 2 zero_gravi
  begin
280 39 zero_gravi
    if (rstn_i = '0') then
281
      d_arbiter.wr_req    <= '0';
282
      d_arbiter.rd_req    <= '0';
283
      d_arbiter.err_align <= '0';
284
      d_arbiter.err_bus   <= '0';
285
    elsif rising_edge(clk_i) then
286
      if (d_arbiter.wr_req = '0') and (d_arbiter.rd_req = '0') then -- idle
287
        d_arbiter.wr_req    <= ctrl_i(ctrl_bus_wr_c);
288
        d_arbiter.rd_req    <= ctrl_i(ctrl_bus_rd_c);
289 73 zero_gravi
        d_arbiter.err_align <= '0';
290 39 zero_gravi
        d_arbiter.err_bus   <= '0';
291 74 zero_gravi
      else -- in progress, accumulate errors
292 73 zero_gravi
        d_arbiter.err_align <= d_arbiter.err_align or d_misaligned;
293
        d_arbiter.err_bus   <= d_arbiter.err_bus or d_bus_err_i or (st_pmp_fault and d_arbiter.wr_req) or (ld_pmp_fault and d_arbiter.rd_req);
294
        if (d_bus_ack_i = '1') or (ctrl_i(ctrl_trap_c) = '1') then -- wait for ACK or TRAP
295
          -- > do not abort directly when an error has been detected - wait until the trap environment
296
          -- > has started (ctrl_i(ctrl_trap_c)) to make sure the error signals are evaluated BEFORE d_wait_o clears
297 39 zero_gravi
          d_arbiter.wr_req <= '0';
298
          d_arbiter.rd_req <= '0';
299
        end if;
300
      end if;
301 12 zero_gravi
    end if;
302 39 zero_gravi
  end process data_access_arbiter;
303 12 zero_gravi
 
304 39 zero_gravi
  -- wait for bus transaction to finish --
305
  d_wait_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and (not d_bus_ack_i);
306
 
307
  -- output data access error to controller --
308
  ma_load_o  <= d_arbiter.rd_req and d_arbiter.err_align;
309
  be_load_o  <= d_arbiter.rd_req and d_arbiter.err_bus;
310
  ma_store_o <= d_arbiter.wr_req and d_arbiter.err_align;
311
  be_store_o <= d_arbiter.wr_req and d_arbiter.err_bus;
312
 
313
  -- data bus (read/write)--
314
  d_bus_addr_o  <= mar;
315
  d_bus_wdata_o <= d_bus_wdata;
316
  d_bus_ben_o   <= d_bus_ben;
317 47 zero_gravi
  d_bus_we      <= ctrl_i(ctrl_bus_wr_c) and (not d_misaligned) and (not st_pmp_fault); -- no actual write when misaligned or PMP fault
318
  d_bus_re      <= ctrl_i(ctrl_bus_rd_c) and (not d_misaligned) and (not ld_pmp_fault); -- no actual read when misaligned or PMP fault
319
  d_bus_we_o    <= d_bus_we_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_we;
320
  d_bus_re_o    <= d_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_re;
321 39 zero_gravi
  d_bus_fence_o <= ctrl_i(ctrl_bus_fence_c);
322
  d_bus_rdata   <= d_bus_rdata_i;
323
 
324 73 zero_gravi
  -- check data access address alignment --
325 74 zero_gravi
  misaligned_d_check: process(rstn_i, clk_i)
326 73 zero_gravi
  begin
327 74 zero_gravi
    if (rstn_i = '0') then
328
      d_misaligned <= def_rst_val_c;
329
    elsif rising_edge(clk_i) then
330
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
331
        case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
332
          when "00"   => d_misaligned <= '0'; -- byte
333
          when "01"   => d_misaligned <= addr_i(0); -- half-word
334
          when others => d_misaligned <= addr_i(1) or addr_i(0); -- word
335
        end case;
336
      end if;
337
    end if;
338 73 zero_gravi
  end process misaligned_d_check;
339
 
340 47 zero_gravi
  -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c --
341
  pmp_dbus_buffer: process(rstn_i, clk_i)
342
  begin
343
    if (rstn_i = '0') then
344
      d_bus_we_buf <= '0';
345
      d_bus_re_buf <= '0';
346
    elsif rising_edge(clk_i) then
347
      d_bus_we_buf <= d_bus_we;
348
      d_bus_re_buf <= d_bus_re;
349
    end if;
350
  end process pmp_dbus_buffer;
351 39 zero_gravi
 
352 57 zero_gravi
 
353
  -- Reservation Controller (LR/SC [A extension]) -------------------------------------------
354
  -- -------------------------------------------------------------------------------------------
355
  exclusive_access_controller: process(rstn_i, clk_i)
356 53 zero_gravi
  begin
357
    if (rstn_i = '0') then
358 57 zero_gravi
      exclusive_lock <= '0';
359 53 zero_gravi
    elsif rising_edge(clk_i) then
360
      if (CPU_EXTENSION_RISCV_A = true) then
361 74 zero_gravi
        -- remove lock if entering a trap or executing a non-load-reservate memory access --
362
        if (ctrl_i(ctrl_trap_c) = '1') or (ctrl_i(ctrl_bus_de_lock_c) = '1') then
363 57 zero_gravi
          exclusive_lock <= '0';
364
        elsif (ctrl_i(ctrl_bus_lock_c) = '1') then -- set new lock
365
          exclusive_lock <= '1';
366 53 zero_gravi
        end if;
367
      else
368 57 zero_gravi
        exclusive_lock <= '0';
369 53 zero_gravi
      end if;
370
    end if;
371 57 zero_gravi
  end process exclusive_access_controller;
372 47 zero_gravi
 
373 57 zero_gravi
  -- lock status for SC operation --
374
  exclusive_lock_status(data_width_c-1 downto 1) <= (others => '0');
375
  exclusive_lock_status(0) <= not exclusive_lock;
376 53 zero_gravi
 
377 57 zero_gravi
  -- output reservation status to control unit (to check if SC should write at all) --
378
  excl_state_o <= exclusive_lock;
379
 
380
  -- output to memory system --
381 64 zero_gravi
  i_bus_lock_o <= '0'; -- instruction fetches cannot be locked
382 57 zero_gravi
  d_bus_lock_o <= exclusive_lock;
383
 
384
 
385 74 zero_gravi
  -- Instruction Interface: Arbiter (controlled by pipeline front-end) ----------------------
386 12 zero_gravi
  -- -------------------------------------------------------------------------------------------
387 38 zero_gravi
  ifetch_arbiter: process(rstn_i, clk_i)
388 12 zero_gravi
  begin
389 38 zero_gravi
    if (rstn_i = '0') then
390
      i_arbiter.rd_req    <= '0';
391
      i_arbiter.err_align <= '0';
392
      i_arbiter.err_bus   <= '0';
393
    elsif rising_edge(clk_i) then
394 12 zero_gravi
      if (i_arbiter.rd_req = '0') then -- idle
395
        i_arbiter.rd_req    <= ctrl_i(ctrl_bus_if_c);
396 73 zero_gravi
        i_arbiter.err_align <= '0';
397 12 zero_gravi
        i_arbiter.err_bus   <= '0';
398 73 zero_gravi
      else -- in progress, accumulate errors
399
        i_arbiter.err_align <= i_arbiter.err_align or i_misaligned;
400
        i_arbiter.err_bus   <= i_arbiter.err_bus or i_bus_err_i or if_pmp_fault;
401
        if (i_bus_ack_i = '1') or (i_arbiter.err_align = '1') or (i_arbiter.err_bus = '1') then -- wait for ACK or ERROR
402 23 zero_gravi
          i_arbiter.rd_req <= '0';
403 2 zero_gravi
        end if;
404
      end if;
405
    end if;
406 12 zero_gravi
  end process ifetch_arbiter;
407 2 zero_gravi
 
408 36 zero_gravi
  i_arbiter.wr_req <= '0'; -- instruction fetch is read-only
409
 
410 12 zero_gravi
  -- wait for bus transaction to finish --
411
  i_wait_o <= i_arbiter.rd_req and (not i_bus_ack_i);
412 2 zero_gravi
 
413 12 zero_gravi
  -- output instruction fetch error to controller --
414
  ma_instr_o <= i_arbiter.err_align;
415
  be_instr_o <= i_arbiter.err_bus;
416 11 zero_gravi
 
417 12 zero_gravi
  -- instruction bus (read-only) --
418 31 zero_gravi
  i_bus_addr_o  <= fetch_pc_i(data_width_c-1 downto 2) & "00"; -- instruction access is always 4-byte aligned (even for compressed instructions)
419 40 zero_gravi
  i_bus_wdata_o <= (others => '0'); -- instruction fetch is read-only
420 12 zero_gravi
  i_bus_ben_o   <= (others => '0');
421
  i_bus_we_o    <= '0';
422 47 zero_gravi
  i_bus_re      <= ctrl_i(ctrl_bus_if_c) and (not i_misaligned) and (not if_pmp_fault); -- no actual read when misaligned or PMP fault
423
  i_bus_re_o    <= i_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else i_bus_re;
424 12 zero_gravi
  i_bus_fence_o <= ctrl_i(ctrl_bus_fencei_c);
425
  instr_o       <= i_bus_rdata_i;
426 2 zero_gravi
 
427 64 zero_gravi
  -- check instruction access address alignment --
428 39 zero_gravi
  i_misaligned <= '0' when (CPU_EXTENSION_RISCV_C = true) else -- no alignment exceptions possible when using C-extension
429
                  '1' when (fetch_pc_i(1) = '1') else '0'; -- 32-bit accesses only
430 2 zero_gravi
 
431 47 zero_gravi
  -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c --
432
  pmp_ibus_buffer: process(rstn_i, clk_i)
433
  begin
434
    if (rstn_i = '0') then
435
      i_bus_re_buf <= '0';
436
    elsif rising_edge(clk_i) then
437
      i_bus_re_buf <= i_bus_re;
438
    end if;
439
  end process pmp_ibus_buffer;
440 2 zero_gravi
 
441 47 zero_gravi
 
442 15 zero_gravi
  -- Physical Memory Protection (PMP) -------------------------------------------------------
443
  -- -------------------------------------------------------------------------------------------
444 74 zero_gravi
 
445
  -- check address region --
446 73 zero_gravi
  pmp_check_address: process(pmp_addr_i, fetch_pc_i, mar)
447 15 zero_gravi
  begin
448 73 zero_gravi
    for i in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions
449
      if (i = 0) then -- use ZERO as bottom boundary and current entry as top boundary for first entry
450
        pmp.i_match(i) <= bool_to_ulogic_f(unsigned(fetch_pc_i(data_width_c-1 downto pmp_lsb_c)) < unsigned(pmp_addr_i(0)(data_width_c-1 downto pmp_lsb_c)));
451
        pmp.d_match(i) <= bool_to_ulogic_f(unsigned(mar(data_width_c-1 downto pmp_lsb_c))        < unsigned(pmp_addr_i(0)(data_width_c-1 downto pmp_lsb_c)));
452
      else -- use previous entry as bottom boundary and current entry as top boundary
453
        pmp.i_match(i) <= bool_to_ulogic_f((unsigned(pmp_addr_i(i-1)(data_width_c-1 downto pmp_lsb_c)) <= unsigned(fetch_pc_i(data_width_c-1 downto pmp_lsb_c))) and
454
                                           (unsigned(fetch_pc_i(data_width_c-1 downto pmp_lsb_c))      <  unsigned(pmp_addr_i(i)(data_width_c-1 downto pmp_lsb_c))));
455
        pmp.d_match(i) <= bool_to_ulogic_f((unsigned(pmp_addr_i(i-1)(data_width_c-1 downto pmp_lsb_c)) <= unsigned(mar(data_width_c-1 downto pmp_lsb_c))) and
456
                                           (unsigned(mar(data_width_c-1 downto pmp_lsb_c))             <  unsigned(pmp_addr_i(i)(data_width_c-1 downto pmp_lsb_c))));
457
      end if;
458
    end loop; -- i
459
  end process pmp_check_address;
460 15 zero_gravi
 
461 73 zero_gravi
  -- check access type and permissions --
462 36 zero_gravi
  pmp_check_permission: process(pmp, pmp_ctrl_i, ctrl_i)
463 15 zero_gravi
  begin
464 73 zero_gravi
    pmp.if_fault <= (others => '0');
465
    pmp.ld_fault <= (others => '0');
466
    pmp.st_fault <= (others => '0');
467
    for i in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions
468
      if ((ctrl_i(ctrl_priv_mode_c) = priv_mode_u_c) or (pmp_ctrl_i(i)(pmp_cfg_l_c) = '1')) and -- enforce if USER-mode or LOCKED
469
         (pmp_ctrl_i(i)(pmp_cfg_ah_c downto pmp_cfg_al_c) = pmp_tor_mode_c) and -- active entry
470 59 zero_gravi
         (ctrl_i(ctrl_debug_running_c) = '0') then -- disable PMP checks when in debug mode
471 73 zero_gravi
        pmp.if_fault(i) <= pmp.i_match(i) and (not pmp_ctrl_i(i)(pmp_cfg_x_c)); -- fetch access match no execute permission
472
        pmp.ld_fault(i) <= pmp.d_match(i) and (not pmp_ctrl_i(i)(pmp_cfg_r_c)); -- load access match no read permission
473
        pmp.st_fault(i) <= pmp.d_match(i) and (not pmp_ctrl_i(i)(pmp_cfg_w_c)); -- store access match no write permission
474 15 zero_gravi
      end if;
475 73 zero_gravi
    end loop; -- i
476 15 zero_gravi
  end process pmp_check_permission;
477
 
478
  -- final PMP access fault signals --
479 74 zero_gravi
  if_pmp_fault <= '1' when (or_reduce_f(pmp.if_fault) = '1') and (PMP_NUM_REGIONS > 0) else '0';
480
  ld_pmp_fault <= '1' when (or_reduce_f(pmp.ld_fault) = '1') and (PMP_NUM_REGIONS > 0) else '0';
481
  st_pmp_fault <= '1' when (or_reduce_f(pmp.st_fault) = '1') and (PMP_NUM_REGIONS > 0) else '0';
482 15 zero_gravi
 
483
 
484 2 zero_gravi
end neorv32_cpu_bus_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.