OpenCores
URL https://opencores.org/ocsvn/next186_soc_pc/next186_soc_pc/trunk

Subversion Repositories next186_soc_pc

[/] [next186_soc_pc/] [trunk/] [HW/] [ipcore_dir/] [dcm_cpu.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ndumitrach
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
3
////////////////////////////////////////////////////////////////////////////////
4
//   ____  ____ 
5
//  /   /\/   / 
6
// /___/  \  /    Vendor: Xilinx 
7
// \   \   \/     Version : 14.5
8
//  \   \         Application : xaw2verilog
9
//  /   /         Filename : dcm_cpu.v
10
// /___/   /\     Timestamp : 05/18/2013 01:02:49
11
// \   \  /  \ 
12
//  \___\/\___\ 
13
//
14
//Command: xaw2verilog -st D:/work/xilinx/ddr_186/ddr_186/ipcore_dir/dcm_cpu.xaw D:/work/xilinx/ddr_186/ddr_186/ipcore_dir/dcm_cpu
15
//Design Name: dcm_cpu
16
//Device: xc3s700an-4fgg484
17
//
18
// Module dcm_cpu
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
// Period Jitter (unit interval) for block DCM_SP_INST = 0.05 UI
22
// Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 0.79 ns
23
`timescale 1ns / 1ps
24
 
25
module dcm_cpu(CLKIN_IN,
26
               CLKDV_OUT,
27
               CLKFX_OUT,
28
               CLKIN_IBUFG_OUT,
29
               CLK0_OUT,
30
               CLK2X_OUT);
31
 
32
    input CLKIN_IN;
33
   output CLKDV_OUT;
34
   output CLKFX_OUT;
35
   output CLKIN_IBUFG_OUT;
36
   output CLK0_OUT;
37
   output CLK2X_OUT;
38
 
39
   wire CLKDV_BUF;
40
   wire CLKFB_IN;
41
   wire CLKFX_BUF;
42
   wire CLKIN_IBUFG;
43
   wire CLK0_BUF;
44
   wire CLK2X_BUF;
45
   wire GND_BIT;
46
 
47
   assign GND_BIT = 0;
48
   assign CLKIN_IBUFG_OUT = CLKIN_IBUFG;
49
   assign CLK0_OUT = CLKFB_IN;
50
   BUFG  CLKDV_BUFG_INST (.I(CLKDV_BUF),
51
                         .O(CLKDV_OUT));
52
   BUFG  CLKFX_BUFG_INST (.I(CLKFX_BUF),
53
                         .O(CLKFX_OUT));
54
   IBUFG  CLKIN_IBUFG_INST (.I(CLKIN_IN),
55
                           .O(CLKIN_IBUFG));
56
   BUFG  CLK0_BUFG_INST (.I(CLK0_BUF),
57
                        .O(CLKFB_IN));
58
   BUFG  CLK2X_BUFG_INST (.I(CLK2X_BUF),
59
                         .O(CLK2X_OUT));
60
   DCM_SP #( .CLK_FEEDBACK("1X"), .CLKDV_DIVIDE(2.0), .CLKFX_DIVIDE(3),
61
         .CLKFX_MULTIPLY(4), .CLKIN_DIVIDE_BY_2("FALSE"),
62
         .CLKIN_PERIOD(20.000), .CLKOUT_PHASE_SHIFT("NONE"),
63
         .DESKEW_ADJUST("SYSTEM_SYNCHRONOUS"), .DFS_FREQUENCY_MODE("LOW"),
64
         .DLL_FREQUENCY_MODE("LOW"), .DUTY_CYCLE_CORRECTION("FALSE"),
65
         .FACTORY_JF(16'hC080), .PHASE_SHIFT(0), .STARTUP_WAIT("FALSE") )
66
         DCM_SP_INST (.CLKFB(CLKFB_IN),
67
                       .CLKIN(CLKIN_IBUFG),
68
                       .DSSEN(GND_BIT),
69
                       .PSCLK(GND_BIT),
70
                       .PSEN(GND_BIT),
71
                       .PSINCDEC(GND_BIT),
72
                       .RST(GND_BIT),
73
                       .CLKDV(CLKDV_BUF),
74
                       .CLKFX(CLKFX_BUF),
75
                       .CLKFX180(),
76
                       .CLK0(CLK0_BUF),
77
                       .CLK2X(CLK2X_BUF),
78
                       .CLK2X180(),
79
                       .CLK90(),
80
                       .CLK180(),
81
                       .CLK270(),
82
                       .LOCKED(),
83
                       .PSDONE(),
84
                       .STATUS());
85
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.