OpenCores
URL https://opencores.org/ocsvn/nlprg/nlprg/trunk

Subversion Repositories nlprg

[/] [nlprg/] [trunk/] [nlprg/] [rtl/] [nlprg15.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 fra93
/*
2
 * Generated by Digital. Don't modify this file!
3
 * Any changes will be lost if this file is regenerated.
4
 */
5
 
6
module DIG_D_FF_AS_1bit
7
#(
8
    parameter Default = 0
9
)
10
(
11
   input Set,
12
   input D,
13
   input C,
14
   input Clr,
15
   output Q,
16
   output \~Q
17
);
18
    reg state;
19
 
20
    assign Q = state;
21
    assign \~Q  = ~state;
22
 
23
    always @ (posedge C or posedge Clr or posedge Set)
24
    begin
25
        if (Set)
26
            state <= 1'b1;
27
        else if (Clr)
28
            state <= 'h0;
29
        else
30
            state <= D;
31
    end
32
 
33
    initial begin
34
        state = Default;
35
    end
36
endmodule
37
 
38
module nlprg15 (
39
  input ck,
40
  input rst,
41
  output [14:0] o
42
);
43
  wire o0;
44
  wire o1;
45
  wire o2;
46
  wire o3;
47
  wire o4;
48
  wire o5;
49
  wire o6;
50
  wire o7;
51
  wire o8;
52
  wire o9;
53
  wire o10;
54
  wire o11;
55
  wire o12;
56
  wire o13;
57
  wire o14;
58
  wire s0;
59
  wire s1;
60
  wire s2;
61
  wire s3;
62
  wire s4;
63
  wire s5;
64
  DIG_D_FF_AS_1bit #(
65
    .Default(0)
66
  )
67
  DIG_D_FF_AS_1bit_i0 (
68
    .Set( 1'b0 ),
69
    .D( s0 ),
70
    .C( ck ),
71
    .Clr( rst ),
72
    .Q( o0 )
73
  );
74
  DIG_D_FF_AS_1bit #(
75
    .Default(0)
76
  )
77
  DIG_D_FF_AS_1bit_i1 (
78
    .Set( 1'b0 ),
79
    .D( s1 ),
80
    .C( ck ),
81
    .Clr( rst ),
82
    .Q( o2 )
83
  );
84
  DIG_D_FF_AS_1bit #(
85
    .Default(0)
86
  )
87
  DIG_D_FF_AS_1bit_i2 (
88
    .Set( 1'b0 ),
89
    .D( s2 ),
90
    .C( ck ),
91
    .Clr( rst ),
92
    .Q( o1 )
93
  );
94
  DIG_D_FF_AS_1bit #(
95
    .Default(0)
96
  )
97
  DIG_D_FF_AS_1bit_i3 (
98
    .Set( 1'b0 ),
99
    .D( s3 ),
100
    .C( ck ),
101
    .Clr( rst ),
102
    .Q( o3 )
103
  );
104
  DIG_D_FF_AS_1bit #(
105
    .Default(0)
106
  )
107
  DIG_D_FF_AS_1bit_i4 (
108
    .Set( 1'b0 ),
109
    .D( s4 ),
110
    .C( ck ),
111
    .Clr( rst ),
112
    .Q( o4 )
113
  );
114
  DIG_D_FF_AS_1bit #(
115
    .Default(0)
116
  )
117
  DIG_D_FF_AS_1bit_i5 (
118
    .Set( 1'b0 ),
119
    .D( s5 ),
120
    .C( ck ),
121
    .Clr( rst ),
122
    .Q( o5 )
123
  );
124
  DIG_D_FF_AS_1bit #(
125
    .Default(0)
126
  )
127
  DIG_D_FF_AS_1bit_i6 (
128
    .Set( 1'b0 ),
129
    .D( o5 ),
130
    .C( ck ),
131
    .Clr( rst ),
132
    .Q( o6 )
133
  );
134
  DIG_D_FF_AS_1bit #(
135
    .Default(0)
136
  )
137
  DIG_D_FF_AS_1bit_i7 (
138
    .Set( 1'b0 ),
139
    .D( o6 ),
140
    .C( ck ),
141
    .Clr( rst ),
142
    .Q( o7 )
143
  );
144
  DIG_D_FF_AS_1bit #(
145
    .Default(0)
146
  )
147
  DIG_D_FF_AS_1bit_i8 (
148
    .Set( 1'b0 ),
149
    .D( o7 ),
150
    .C( ck ),
151
    .Clr( rst ),
152
    .Q( o8 )
153
  );
154
  DIG_D_FF_AS_1bit #(
155
    .Default(0)
156
  )
157
  DIG_D_FF_AS_1bit_i9 (
158
    .Set( 1'b0 ),
159
    .D( o8 ),
160
    .C( ck ),
161
    .Clr( rst ),
162
    .Q( o9 )
163
  );
164
  DIG_D_FF_AS_1bit #(
165
    .Default(0)
166
  )
167
  DIG_D_FF_AS_1bit_i10 (
168
    .Set( 1'b0 ),
169
    .D( o9 ),
170
    .C( ck ),
171
    .Clr( rst ),
172
    .Q( o10 )
173
  );
174
  DIG_D_FF_AS_1bit #(
175
    .Default(0)
176
  )
177
  DIG_D_FF_AS_1bit_i11 (
178
    .Set( 1'b0 ),
179
    .D( o10 ),
180
    .C( ck ),
181
    .Clr( rst ),
182
    .Q( o11 )
183
  );
184
  DIG_D_FF_AS_1bit #(
185
    .Default(0)
186
  )
187
  DIG_D_FF_AS_1bit_i12 (
188
    .Set( 1'b0 ),
189
    .D( o11 ),
190
    .C( ck ),
191
    .Clr( rst ),
192
    .Q( o12 )
193
  );
194
  DIG_D_FF_AS_1bit #(
195
    .Default(0)
196
  )
197
  DIG_D_FF_AS_1bit_i13 (
198
    .Set( 1'b0 ),
199
    .D( o12 ),
200
    .C( ck ),
201
    .Clr( rst ),
202
    .Q( o13 )
203
  );
204
  DIG_D_FF_AS_1bit #(
205
    .Default(0)
206
  )
207
  DIG_D_FF_AS_1bit_i14 (
208
    .Set( 1'b0 ),
209
    .D( o13 ),
210
    .C( ck ),
211
    .Clr( rst ),
212
    .Q( o14 )
213
  );
214
  assign o[0] = o0;
215
  assign o[1] = o1;
216
  assign o[2] = o2;
217
  assign o[3] = o3;
218
  assign o[4] = o4;
219
  assign o[5] = o5;
220
  assign o[6] = o6;
221
  assign o[7] = o7;
222
  assign o[8] = o8;
223
  assign o[9] = o9;
224
  assign o[10] = o10;
225
  assign o[11] = o11;
226
  assign o[12] = o12;
227
  assign o[13] = o13;
228
  assign o[14] = o14;
229
  assign s0 = ~ ((o13 ^ o14) ^ o5);
230
  assign s2 = ((o11 ^ o12) ^ o0);
231
  assign s1 = ((o9 ^ o10) ^ o1);
232
  assign s3 = ((o7 ^ o8) ^ o2);
233
  assign s5 = (~ (o6 ^ o4) ^ ((o3 & (o2 & (o1 & o0))) & ((~ (o14 | o13) & ~ (o12 | o11)) & ((~ (o10 | o9) & ~ (o8 | o7)) & ~ (o6 | o5)))));
234
  assign s4 = ((o5 ^ o6) ^ o3);
235
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.