OpenCores
URL https://opencores.org/ocsvn/nlprg/nlprg/trunk

Subversion Repositories nlprg

[/] [nlprg/] [trunk/] [nlprg/] [tb/] [nlprg7_tb.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 fra93
`include "../rtl/nlprg7.v"
2
 
3
module prng7_tb ();
4
 
5
parameter N = 7;
6
 
7
reg ck;
8
reg rst;
9
 
10
 
11
wire [N-1:0] o ;
12
 
13
 
14
nlprg7 nlprg7_u (
15
  .ck(ck),
16
  .rst(rst),
17
  .o(o)
18
);
19
 
20
// dump variables
21
 
22
initial begin
23
 
24
        $dumpfile( "./wave/prng7_tb.vcd");
25
        $dumpvars( 0, prng7_tb );
26
 
27
end
28
 
29
 
30
integer f = -1 ; // file handler
31
 
32
// generate clocks and reset
33
 
34
initial begin
35
 
36
        f = $fopen("./log/prng7_tb.log","w+");
37
 
38
        rst = 1'b1     ;
39
        #5 rst = ~ rst ;
40
                #5 rst = ~ rst ;
41
                #5 rst = ~ rst ;
42
        ck  = 1'b1     ;
43
forever #5 ck  = ~ ck  ;
44
 
45
end
46
 
47
// generate counter
48
 
49
reg [N-1:0] cnt;
50
 
51
always@ ( posedge ck or posedge rst ) begin : cnt_process
52
 
53
  if ( rst ) cnt <= {N{1'b0}}  ;
54
  else       cnt <= cnt + 1'b1 ;
55
 
56
end
57
 
58
// delay reset
59
 
60
reg rst_d0;
61
reg rst_d1;
62
 
63
always@ ( posedge ck or posedge rst ) begin : reset_delay_process
64
 
65
  if ( rst ) begin
66
 
67
    rst_d0 <= 1'b1   ;
68
    rst_d1 <= 1'b1   ;
69
 
70
  end else begin
71
 
72
    rst_d0 <= 1'b0   ;
73
    rst_d1 <= rst_d0 ;
74
 
75
  end
76
 
77
end
78
 
79
// generate endsim
80
 
81
reg endsim;
82
reg pass;
83
 
84
wire prng_start_state = ( o   == {N{1'b0}});
85
wire cnt_start_state  = ( cnt == {N{1'b0}});
86
 
87
 
88
always@ ( posedge ck or posedge rst ) begin : lock_process
89
 
90
  if      ( rst                                                   ) begin
91
    endsim <= 1'b0 ;
92
    pass   <= 1'b0 ;
93
  end else if ( prng_start_state & ( ! rst_d1 )                   ) begin
94
    endsim <= 1'b1 ;
95
    pass   <= 1'b0 ;
96
  end else if ( cnt_start_state  & prng_start_state & ( ! rst_d1 ) ) begin
97
    endsim <= 1'b1 ;
98
    pass   <= 1'b1 ;
99
  end else if ( cnt_start_state  & ( ! rst_d1 )                   ) begin
100
    endsim <= 1'b1 ;
101
    pass   <= 1'b0 ;
102
  end
103
 
104
end
105
 
106
 
107
// display and finish
108
 
109
always@ ( posedge ck or posedge rst ) begin : display_process
110
 
111
  if          (( ! endsim ) && ( ! rst ))  begin
112
    $fdisplay(f,"%10d %10b", cnt , o) ;
113
  end else if ( endsim                  )  begin
114
    $fclose(f);
115
    $finish ;
116
  end
117
 
118
end
119
 
120
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.