OpenCores
URL https://opencores.org/ocsvn/noekeoncore/noekeoncore/trunk

Subversion Repositories noekeoncore

[/] [noekeoncore/] [trunk/] [tb/] [tb_pi_2.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
 
2
-- Copyright (c) 2013 Antonio de la Piedra
3
 
4
-- This program is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
 
9
-- This program is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
16
 
17
LIBRARY ieee;
18
USE ieee.std_logic_1164.ALL;
19
 
20
ENTITY tb_pi_2 IS
21
END tb_pi_2;
22
 
23
ARCHITECTURE behavior OF tb_pi_2 IS
24
 
25
    -- Component Declaration for the Unit Under Test (UUT)
26
 
27
    COMPONENT pi_2
28
    PORT(
29
         a_1_in : IN  std_logic_vector(31 downto 0);
30
         a_2_in : IN  std_logic_vector(31 downto 0);
31
         a_3_in : IN  std_logic_vector(31 downto 0);
32
         a_1_out : OUT  std_logic_vector(31 downto 0);
33
         a_2_out : OUT  std_logic_vector(31 downto 0);
34
         a_3_out : OUT  std_logic_vector(31 downto 0)
35
        );
36
    END COMPONENT;
37
 
38
 
39
   --Inputs
40
   signal a_1_in : std_logic_vector(31 downto 0) := (others => '0');
41
   signal a_2_in : std_logic_vector(31 downto 0) := (others => '0');
42
   signal a_3_in : std_logic_vector(31 downto 0) := (others => '0');
43
 
44
        --Outputs
45
   signal a_1_out : std_logic_vector(31 downto 0);
46
   signal a_2_out : std_logic_vector(31 downto 0);
47
   signal a_3_out : std_logic_vector(31 downto 0);
48
   -- No clocks detected in port list. Replace <clock> below with 
49
   -- appropriate port name 
50
 
51
BEGIN
52
 
53
        -- Instantiate the Unit Under Test (UUT)
54
   uut: pi_2 PORT MAP (
55
          a_1_in => a_1_in,
56
          a_2_in => a_2_in,
57
          a_3_in => a_3_in,
58
          a_1_out => a_1_out,
59
          a_2_out => a_2_out,
60
          a_3_out => a_3_out
61
        );
62
 
63
 
64
   -- Stimulus process
65
   stim_proc: process
66
   begin
67
                a_1_in <= X"43575679";
68
      a_2_in <= X"465647e0";
69
      a_3_in <= X"c002aeef";
70
      wait;
71
   end process;
72
 
73
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.