OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [test1/] [sim.log] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 dinesha
ncxlmode: 11.10-s021: (c) Copyright 1995-2012 Cadence Design Systems, Inc.
2
TOOL:   ncxlmode        11.10-s021: Started on Aug 01, 2014 at 10:53:39 IST
3
ncxlmode
4
        test.sv
5
        -l
6
        sim.log
7
file: test.sv
8
        module worklib.assert_immediate:sv
9
                errors: 0, warnings: 0
10
                Caching library 'worklib' ....... Done
11
        Elaborating the design hierarchy:
12
        Building instance overlay tables: .................... Done
13
        Generating native compiled code:
14
                worklib.assert_immediate:sv <0x6f249755>
15
                        streams:   3, words:  2802
16
        Loading native compiled code:     .................... Done
17
        Building instance specific data structures.
18
        Design hierarchy summary:
19
                         Instances  Unique
20
                Modules:         1       1
21
                Registers:       5       5
22
                Always blocks:   2       2
23
                Initial blocks:  1       1
24
                Assertions:      1       1
25
        Writing initial simulation snapshot: worklib.assert_immediate:sv
26
Loading snapshot worklib.assert_immediate:sv .................... Done
27
ncsim> source /tools/INCISIV111/tools/inca/files/ncsimrc
28
ncsim> run
29
Seems to be working as expected
30
Seems to be working as expected
31
ncsim: *E,ASRTST (./test.sv,31): (time 14 NS) Assertion assert_immediate.CHECK_REQ_WHEN_GNT has failed
32
assert failed at time 13
33
ncsim: *E,ASRTST (./test.sv,31): (time 16 NS) Assertion assert_immediate.CHECK_REQ_WHEN_GNT has failed
34
assert failed at time 15
35
Seems to be working as expected
36
Seems to be working as expected
37
Simulation complete via $finish(1) at time 20 NS + 0
38
./test.sv:17   #4 $finish;
39
ncsim> exit
40
TOOL:   ncxlmode        11.10-s021: Exiting on Aug 01, 2014 at 10:53:39 IST  (total: 00:00:00)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.