OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [test1/] [test.sv] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 dinesha
//+++++++++++++++++++++++++++++++++++++++++++++++++
2
// DUT With Immediate assertions
3
//+++++++++++++++++++++++++++++++++++++++++++++++++
4
module assert_immediate();
5
 
6
reg  clk, grant, request;
7
time current_time;
8
 
9
initial begin
10
  clk = 0;
11
  grant   = 0;
12
  request = 0;
13
  #4 request = 1;
14
  #4 grant = 1;
15
  #4 request = 0;
16
  #4 request = 1;
17
  #4 $finish;
18
end
19
 
20
always #1 clk = ~clk;
21
//=================================================
22
// Assertion used in always block
23
//=================================================
24
always @ (posedge clk)
25
begin
26
  if (grant == 1) begin
27
     CHECK_REQ_WHEN_GNT : assert (grant && request) begin
28
        $display ("Seems to be working as expected");
29
     end else begin
30
        current_time = $time;
31
        #1 $error("assert failed at time %0t", current_time);
32
     end
33
  end
34
end
35
 
36
// Dumping Waveforms
37
initial begin //{
38
 
39
    $shm_open("simvision.shm");
40
    $shm_probe("AC");
41
end //}
42
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.