OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [test2/] [sim.log] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 dinesha
ncxlmode: 11.10-s021: (c) Copyright 1995-2012 Cadence Design Systems, Inc.
2
TOOL:   ncxlmode        11.10-s021: Started on Aug 01, 2014 at 13:00:02 IST
3
ncxlmode
4
        +access+rcw
5
        test.sv
6
        -l
7
        sim.log
8
Loading snapshot worklib.concurrent_assertion_tb:sv .................... Done
9
ncsim> source /tools/INCISIV111/tools/inca/files/ncsimrc
10
ncsim> run
11
 (req & ~gnt) ##1 (~req & gnt) ##1 (~req & ~gnt) ;
12
                        |
13
ncsim: *E,ASRTST (./test.sv,27): (time 1245 NS) Assertion concurrent_assertion_tb.dut.req_gnt_assert has failed (2 cycles, starting 1239 NS)
14
 (req & ~gnt) ##1 (~req & gnt) ##1 (~req & ~gnt) ;
15
      |
16
ncsim: *E,ASRTST (./test.sv,27): (time 1245 NS) Assertion concurrent_assertion_tb.dut.req_gnt_assert has failed (1 cycles, starting 1245 NS)
17
@1245ns Assertion Failed
18
 (req & ~gnt) ##1 (~req & gnt) ##1 (~req & ~gnt) ;
19
      |
20
ncsim: *E,ASRTST (./test.sv,27): (time 1251 NS) Assertion concurrent_assertion_tb.dut.req_gnt_assert has failed (1 cycles, starting 1251 NS)
21
@1251ns Assertion Failed
22
 (req & ~gnt) ##1 (~req & gnt) ##1 (~req & ~gnt) ;
23
      |
24
ncsim: *E,ASRTST (./test.sv,27): (time 1257 NS) Assertion concurrent_assertion_tb.dut.req_gnt_assert has failed (1 cycles, starting 1257 NS)
25
@1257ns Assertion Failed
26
 (req & ~gnt) ##1 (~req & gnt) ##1 (~req & ~gnt) ;
27
      |
28
ncsim: *E,ASRTST (./test.sv,27): (time 1263 NS) Assertion concurrent_assertion_tb.dut.req_gnt_assert has failed (1 cycles, starting 1263 NS)
29
@1263ns Assertion Failed
30
 (req & ~gnt) ##1 (~req & gnt) ##1 (~req & ~gnt) ;
31
      |
32
ncsim: *E,ASRTST (./test.sv,27): (time 1269 NS) Assertion concurrent_assertion_tb.dut.req_gnt_assert has failed (1 cycles, starting 1269 NS)
33
@1269ns Assertion Failed
34
Simulation complete via $finish(1) at time 1879 NS + 0
35
./test.sv:58   #10 $finish;
36
ncsim> exit
37
TOOL:   ncxlmode        11.10-s021: Exiting on Aug 01, 2014 at 13:00:03 IST  (total: 00:00:01)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.