OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [test3/] [sim.log] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 dinesha
ncxlmode: 11.10-s021: (c) Copyright 1995-2012 Cadence Design Systems, Inc.
2
TOOL:   ncxlmode        11.10-s021: Started on Aug 01, 2014 at 13:11:44 IST
3
ncxlmode
4
        +access+rcw
5
        test.sv
6
        -l
7
        sim.log
8
file: test.sv
9
        module worklib.repetition_assertion:sv
10
                errors: 0, warnings: 0
11
                Caching library 'worklib' ....... Done
12
        Elaborating the design hierarchy:
13
        Building instance overlay tables: .................... Done
14
        Generating native compiled code:
15
                worklib.repetition_assertion:sv <0x08c92930>
16
                        streams:  13, words:  8753
17
        Loading native compiled code:     .................... Done
18
        Building instance specific data structures.
19
        Design hierarchy summary:
20
                          Instances  Unique
21
                Modules:          1       1
22
                Registers:       17      17
23
                Always blocks:    9       9
24
                Initial blocks:   7       7
25
                Assertions:       2       2
26
        Writing initial simulation snapshot: worklib.repetition_assertion:sv
27
Loading snapshot worklib.repetition_assertion:sv .................... Done
28
ncsim> source /tools/INCISIV111/tools/inca/files/ncsimrc
29
ncsim> run
30
  req ##1 busy [*3] ##1 gnt;
31
                          |
32
ncsim: *E,ASRTST (./test.sv,41): (time 23 NS) Assertion repetition_assertion.cool_way_assert has failed (5 cycles, starting 15 NS)
33
  req ##1 busy ##1 busy ##1 busy ##1 gnt;
34
                                       |
35
ncsim: *E,ASRTST (./test.sv,40): (time 23 NS) Assertion repetition_assertion.boring_way_assert has failed (5 cycles, starting 15 NS)
36
Simulation complete via $finish(1) at time 55 NS + 0
37
./test.sv:70   #30 $finish;
38
ncsim> exit
39
TOOL:   ncxlmode        11.10-s021: Exiting on Aug 01, 2014 at 13:11:45 IST  (total: 00:00:01)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.