OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [dpi/] [test4/] [dpi.sv] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 dinesha
module Bus(input In1, output Out1);
2
  import "DPI" function void increment(input int indata, output int outdata);
3
  export "DPI" function stepit;
4
 
5
parameter string InFileName ="Infile.hex";
6
parameter EOF = 32'hFFFFFFFF;
7
  // This SystemVerilog function could be called from C
8
  function void stepit(input int indata, output int outdata);
9
     outdata = indata+1;
10
  endfunction
11
 
12
int iData,oData;
13
integer TvTxPtr,TvTxPtr1=0;
14
reg [11:0] FileI1,FileQ1;
15
reg [23:0] FileIn;
16
string    InString;
17
 
18
initial begin
19
  iData = 10;
20
  increment(iData,oData);
21
  TvTxPtr  =$fopen(InFileName,"r");
22
  if(TvTxPtr == 0) begin
23
        $fclose(TvTxPtr);
24
   end else begin
25
     //while(TvTxPtr1 != EOF) begin
26
        //TvTxPtr1 = $fscanf(TvTxPtr,"%h %h\n",FileI1,FileQ1);
27
        //$display("%h %h",FileI1,FileQ1);
28
        TvTxPtr1 = $fgets(InString, 100,TvTxPtr);
29
        $display("%s",InString);
30
      //end
31
      $fclose(TvTxPtr);
32
   end
33
 
34
  $display("At SV: Input: %d Output : %d", iData,oData);
35
end
36
 
37
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.