OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [rtl/] [defs/] [top_defines.v] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dinesha
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores Definitions                                      ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/oms8051mini/                 ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  8051 definitions.                                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   Nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////      - Jaka Simsic, jakas@opencores.org                      ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// ver: 1
46
//
47
 
48
//
49
// oc8051 pherypherals
50
//
51
//`define OC8051_UART
52
`define OC8051_TC01
53
`define OC8051_TC2
54
`define OC8051_PORTS  //ports global enable
55
`define OC8051_PORT0
56
`define OC8051_PORT1
57
`define OC8051_PORT2
58
`define OC8051_PORT3
59
 
60
 
61
//
62
// oc8051 ITERNAL ROM
63
//
64 10 dinesha
`define OC8051_ROM
65 2 dinesha
 
66
 
67
//
68
// oc8051 memory
69
//
70
//`define OC8051_CACHE
71
//`define OC8051_WB
72
 
73
//`define OC8051_RAM_XILINX
74
//`define OC8051_RAM_VIRTUALSILICON
75
//`define OC8051_RAM_GENERIC
76
 
77
//`define OC8051_RAM_ACTEL
78
 
79
//`define OC8051_XILINX_ROM
80
//`define OC8051_ACTEL_ROM
81
 
82
//
83
// oc8051 simulation defines
84
//
85
//`define OC8051_SIMULATION
86
//`define OC8051_SERIAL
87
 
88
//
89
// oc8051 bist
90
//
91
//`define OC8051_BIST
92
 
93
 
94
//
95
// operation codes for alu
96
//
97
 
98
 
99
`define OC8051_ALU_NOP  4'b0000
100
`define OC8051_ALU_ADD  4'b0001
101
`define OC8051_ALU_SUB  4'b0010
102
`define OC8051_ALU_MUL  4'b0011
103
`define OC8051_ALU_DIV  4'b0100
104
`define OC8051_ALU_DA   4'b0101
105
`define OC8051_ALU_NOT  4'b0110
106
`define OC8051_ALU_AND  4'b0111
107
`define OC8051_ALU_XOR  4'b1000
108
`define OC8051_ALU_OR   4'b1001
109
`define OC8051_ALU_RL   4'b1010
110
`define OC8051_ALU_RLC  4'b1011
111
`define OC8051_ALU_RR   4'b1100
112
`define OC8051_ALU_RRC  4'b1101
113
`define OC8051_ALU_INC  4'b1110
114
`define OC8051_ALU_XCH  4'b1111
115
 
116
//
117
// sfr addresses
118
//
119
 
120
`define OC8051_SFR_ACC     8'he0 //accumulator
121
`define OC8051_SFR_B       8'hf0 //b register
122
`define OC8051_SFR_PSW     8'hd0 //program status word
123
`define OC8051_SFR_P0      8'h80 //port 0
124
`define OC8051_SFR_P1      8'h90 //port 1
125
`define OC8051_SFR_P2      8'ha0 //port 2
126
`define OC8051_SFR_P3      8'hb0 //port 3
127
`define OC8051_SFR_DPTR_LO 8'h82 // data pointer high bits
128
`define OC8051_SFR_DPTR_HI 8'h83 // data pointer low bits
129
`define OC8051_SFR_IP0     8'hb8 // interrupt priority
130
`define OC8051_SFR_IEN0    8'ha8 // interrupt enable 0
131
`define OC8051_SFR_TMOD    8'h89 // timer/counter mode
132
`define OC8051_SFR_TCON    8'h88 // timer/counter control
133
`define OC8051_SFR_TH0     8'h8c // timer/counter 0 high bits
134
`define OC8051_SFR_TL0     8'h8a // timer/counter 0 low bits
135
`define OC8051_SFR_TH1     8'h8d // timer/counter 1 high bits
136
`define OC8051_SFR_TL1     8'h8b // timer/counter 1 low bits
137
 
138
`define OC8051_SFR_SCON    8'h98 // serial control 0
139
`define OC8051_SFR_SBUF    8'h99 // serial data buffer 0
140
`define OC8051_SFR_SADDR   8'ha9 // serila address register 0
141
`define OC8051_SFR_SADEN   8'hb9 // serila address enable 0
142
 
143
`define OC8051_SFR_PCON    8'h87 // power control
144
`define OC8051_SFR_SP      8'h81 // stack pointer
145
 
146
 
147
 
148
`define OC8051_SFR_IE      8'ha8 // interrupt enable
149
`define OC8051_SFR_IP      8'hb7 // interrupt priority
150
 
151
`define OC8051_SFR_RCAP2H  8'hcb // timer 2 capture high
152
`define OC8051_SFR_RCAP2L  8'hca // timer 2 capture low
153
 
154
`define OC8051_SFR_T2CON   8'hc8 // timer 2 control register
155
`define OC8051_SFR_TH2     8'hcd // timer 2 high
156
`define OC8051_SFR_TL2     8'hcc // timer 2 low
157
 
158
 
159
 
160
//
161
// sfr bit addresses
162
//
163
`define OC8051_SFR_B_ACC   5'b11100 //accumulator
164
`define OC8051_SFR_B_PSW   5'b11010 //program status word
165
`define OC8051_SFR_B_P0    5'b10000 //port 0
166
`define OC8051_SFR_B_P1    5'b10010 //port 1
167
`define OC8051_SFR_B_P2    5'b10100 //port 2
168
`define OC8051_SFR_B_P3    5'b10110 //port 3
169
`define OC8051_SFR_B_B     5'b11110 // b register
170
`define OC8051_SFR_B_IP    5'b10111 // interrupt priority control 0
171
`define OC8051_SFR_B_IE    5'b10101 // interrupt enable control 0
172
`define OC8051_SFR_B_SCON  5'b10011 // serial control
173
`define OC8051_SFR_B_TCON  5'b10001 // timer/counter control
174
`define OC8051_SFR_B_T2CON 5'b11001 // timer/counter2 control
175
 
176
 
177
//
178
//carry input in alu
179
//
180
`define OC8051_CY_0 2'b00 // 1'b0;
181
`define OC8051_CY_PSW 2'b01 // carry from psw
182
`define OC8051_CY_RAM 2'b10 // carry from ram
183
`define OC8051_CY_1 2'b11 // 1'b1;
184
`define OC8051_CY_DC 2'b00 // carry from psw
185
 
186
//
187
// instruction set
188
//
189
 
190
//op_code [4:0]
191
`define OC8051_ACALL 8'bxxx1_0001 // absolute call
192
`define OC8051_AJMP 8'bxxx0_0001 // absolute jump
193
 
194
//op_code [7:3]
195
`define OC8051_ADD_R  8'b0010_1xxx // add A=A+Rx
196
`define OC8051_ADDC_R 8'b0011_1xxx // add A=A+Rx+c
197
`define OC8051_ANL_R  8'b0101_1xxx // and A=A^Rx
198
`define OC8051_CJNE_R 8'b1011_1xxx // compare and jump if not equal; Rx<>constant
199
`define OC8051_DEC_R  8'b0001_1xxx // decrement reg Rn=Rn-1
200
`define OC8051_DJNZ_R 8'b1101_1xxx // decrement and jump if not zero
201
`define OC8051_INC_R  8'b0000_1xxx // increment Rn
202
`define OC8051_MOV_R  8'b1110_1xxx // move A=Rn
203
`define OC8051_MOV_AR 8'b1111_1xxx // move Rn=A
204
`define OC8051_MOV_DR 8'b1010_1xxx // move Rn=(direct)
205
`define OC8051_MOV_CR 8'b0111_1xxx // move Rn=constant
206
`define OC8051_MOV_RD 8'b1000_1xxx // move (direct)=Rn
207
`define OC8051_ORL_R 8'b0100_1xxx // or A=A or Rn
208
`define OC8051_SUBB_R 8'b1001_1xxx // substract with borrow  A=A-c-Rn
209
`define OC8051_XCH_R 8'b1100_1xxx // exchange A<->Rn
210
`define OC8051_XRL_R 8'b0110_1xxx // XOR A=A XOR Rn
211
 
212
//op_code [7:1]
213
`define OC8051_ADD_I 8'b0010_011x // add A=A+@Ri
214
`define OC8051_ADDC_I 8'b0011_011x // add A=A+@Ri+c
215
`define OC8051_ANL_I 8'b0101_011x // and A=A^@Ri
216
`define OC8051_CJNE_I 8'b1011_011x // compare and jump if not equal; @Ri<>constant
217
`define OC8051_DEC_I 8'b0001_011x // decrement indirect @Ri=@Ri-1
218
`define OC8051_INC_I 8'b0000_011x // increment @Ri
219
`define OC8051_MOV_I 8'b1110_011x // move A=@Ri
220
`define OC8051_MOV_ID 8'b1000_011x // move (direct)=@Ri
221
`define OC8051_MOV_AI 8'b1111_011x // move @Ri=A
222
`define OC8051_MOV_DI 8'b1010_011x // move @Ri=(direct)
223
`define OC8051_MOV_CI 8'b0111_011x // move @Ri=constant
224
`define OC8051_MOVX_IA 8'b1110_001x // move A=(@Ri)
225
`define OC8051_MOVX_AI 8'b1111_001x // move (@Ri)=A
226
`define OC8051_ORL_I 8'b0100_011x // or A=A or @Ri
227
`define OC8051_SUBB_I 8'b1001_011x // substract with borrow  A=A-c-@Ri
228
`define OC8051_XCH_I 8'b1100_011x // exchange A<->@Ri
229
`define OC8051_XCHD 8'b1101_011x // exchange digit A<->Ri
230
`define OC8051_XRL_I 8'b0110_011x // XOR A=A XOR @Ri
231
 
232
//op_code [7:0]
233
`define OC8051_ADD_D 8'b0010_0101 // add A=A+(direct)
234
`define OC8051_ADD_C 8'b0010_0100 // add A=A+constant
235
`define OC8051_ADDC_D 8'b0011_0101 // add A=A+(direct)+c
236
`define OC8051_ADDC_C 8'b0011_0100 // add A=A+constant+c
237
`define OC8051_ANL_D 8'b0101_0101 // and A=A^(direct)
238
`define OC8051_ANL_C 8'b0101_0100 // and A=A^constant
239
`define OC8051_ANL_DD 8'b0101_0010 // and (direct)=(direct)^A
240
`define OC8051_ANL_DC 8'b0101_0011 // and (direct)=(direct)^constant
241
`define OC8051_ANL_B 8'b1000_0010 // and c=c^bit
242
`define OC8051_ANL_NB 8'b1011_0000 // and c=c^!bit
243
`define OC8051_CJNE_D 8'b1011_0101 // compare and jump if not equal; a<>(direct)
244
`define OC8051_CJNE_C 8'b1011_0100 // compare and jump if not equal; a<>constant
245
`define OC8051_CLR_A 8'b1110_0100 // clear accumulator
246
`define OC8051_CLR_C 8'b1100_0011 // clear carry
247
`define OC8051_CLR_B 8'b1100_0010 // clear bit
248
`define OC8051_CPL_A 8'b1111_0100 // complement accumulator
249
`define OC8051_CPL_C 8'b1011_0011 // complement carry
250
`define OC8051_CPL_B 8'b1011_0010 // complement bit
251
`define OC8051_DA 8'b1101_0100 // decimal adjust (A)
252
`define OC8051_DEC_A 8'b0001_0100 // decrement accumulator a=a-1
253
`define OC8051_DEC_D 8'b0001_0101 // decrement direct (direct)=(direct)-1
254
`define OC8051_DIV 8'b1000_0100 // divide
255
`define OC8051_DJNZ_D 8'b1101_0101 // decrement and jump if not zero (direct)
256
`define OC8051_INC_A 8'b0000_0100 // increment accumulator
257
`define OC8051_INC_D 8'b0000_0101 // increment (direct)
258
`define OC8051_INC_DP 8'b1010_0011 // increment data pointer
259
`define OC8051_JB 8'b0010_0000 // jump if bit set
260
`define OC8051_JBC 8'b0001_0000 // jump if bit set and clear bit
261
`define OC8051_JC 8'b0100_0000 // jump if carry is set
262
`define OC8051_JMP_D 8'b0111_0011 // jump indirect
263
`define OC8051_JNB 8'b0011_0000 // jump if bit not set
264
`define OC8051_JNC 8'b0101_0000 // jump if carry not set
265
`define OC8051_JNZ 8'b0111_0000 // jump if accumulator not zero
266
`define OC8051_JZ 8'b0110_0000 // jump if accumulator zero
267
`define OC8051_LCALL 8'b0001_0010 // long call
268
`define OC8051_LJMP 8'b0000_0010 // long jump
269
`define OC8051_MOV_D 8'b1110_0101 // move A=(direct)
270
`define OC8051_MOV_C 8'b0111_0100 // move A=constant
271
`define OC8051_MOV_DA 8'b1111_0101 // move (direct)=A
272
`define OC8051_MOV_DD 8'b1000_0101 // move (direct)=(direct)
273
`define OC8051_MOV_CD 8'b0111_0101 // move (direct)=constant
274
`define OC8051_MOV_BC 8'b1010_0010 // move c=bit
275
`define OC8051_MOV_CB 8'b1001_0010 // move bit=c
276
`define OC8051_MOV_DP 8'b1001_0000 // move dptr=constant(16 bit)
277
`define OC8051_MOVC_DP 8'b1001_0011 // move A=dptr+A
278
`define OC8051_MOVC_PC 8'b1000_0011 // move A=pc+A
279
`define OC8051_MOVX_PA 8'b1110_0000 // move A=(dptr)
280
`define OC8051_MOVX_AP 8'b1111_0000 // move (dptr)=A
281
`define OC8051_MUL 8'b1010_0100 // multiply a*b
282
`define OC8051_NOP 8'b0000_0000 // no operation
283
`define OC8051_ORL_D 8'b0100_0101 // or A=A or (direct)
284
`define OC8051_ORL_C 8'b0100_0100 // or A=A or constant
285
`define OC8051_ORL_AD 8'b0100_0010 // or (direct)=(direct) or A
286
`define OC8051_ORL_CD 8'b0100_0011 // or (direct)=(direct) or constant
287
`define OC8051_ORL_B 8'b0111_0010 // or c = c or bit
288
`define OC8051_ORL_NB 8'b1010_0000 // or c = c or !bit
289
`define OC8051_POP 8'b1101_0000 // stack pop
290
`define OC8051_PUSH 8'b1100_0000 // stack push
291
`define OC8051_RET 8'b0010_0010 // return from subrutine
292
`define OC8051_RETI 8'b0011_0010 // return from interrupt
293
`define OC8051_RL 8'b0010_0011 // rotate left
294
`define OC8051_RLC 8'b0011_0011 // rotate left thrugh carry
295
`define OC8051_RR 8'b0000_0011 // rotate right
296
`define OC8051_RRC 8'b0001_0011 // rotate right thrugh carry
297
`define OC8051_SETB_C 8'b1101_0011 // set carry
298
`define OC8051_SETB_B 8'b1101_0010 // set bit
299
`define OC8051_SJMP 8'b1000_0000 // short jump
300
`define OC8051_SUBB_D 8'b1001_0101 // substract with borrow  A=A-c-(direct)
301
`define OC8051_SUBB_C 8'b1001_0100 // substract with borrow  A=A-c-constant
302
`define OC8051_SWAP 8'b1100_0100 // swap A(0-3) <-> A(4-7)
303
`define OC8051_XCH_D 8'b1100_0101 // exchange A<->(direct)
304
`define OC8051_XRL_D 8'b0110_0101 // XOR A=A XOR (direct)
305
`define OC8051_XRL_C 8'b0110_0100 // XOR A=A XOR constant
306
`define OC8051_XRL_AD 8'b0110_0010 // XOR (direct)=(direct) XOR A
307
`define OC8051_XRL_CD 8'b0110_0011 // XOR (direct)=(direct) XOR constant
308
 
309
 
310
//
311
// default values (used after reset)
312
//
313
`define OC8051_RST_PC 23'h0 // program counter
314
`define OC8051_RST_ACC 8'h00 // accumulator
315
`define OC8051_RST_B 8'h00 // b register
316
`define OC8051_RST_PSW 8'h00 // program status word
317
`define OC8051_RST_SP 8'b0000_0111 // stack pointer
318
`define OC8051_RST_DPH 8'h00 // data pointer (high)
319
`define OC8051_RST_DPL 8'h00 // data pointer (low)
320
`define OC8051_RST_P0 8'b1111_1111 // port 0
321
`define OC8051_RST_P1 8'b1111_1111 // port 1
322
`define OC8051_RST_P2 8'b1111_1111 // port 2
323
`define OC8051_RST_P3 8'b1111_1111 // port 3
324
`define OC8051_RST_IP 8'b0000_0000 // interrupt priority
325
`define OC8051_RST_IE 8'b0000_0000 // interrupt enable
326
`define OC8051_RST_TMOD 8'b0000_0000 // timer/counter mode control
327
`define OC8051_RST_TCON 8'b0000_0000 // timer/counter control
328
`define OC8051_RST_TH0 8'b0000_0000 // timer/counter 0 high bits
329
`define OC8051_RST_TL0 8'b0000_0000 // timer/counter 0 low bits
330
`define OC8051_RST_TH1 8'b0000_0000 // timer/counter 1 high bits
331
`define OC8051_RST_TL1 8'b0000_0000 // timer/counter 1 low bits
332
`define OC8051_RST_SCON 8'b0000_0000 // serial control
333
`define OC8051_RST_SBUF 8'b0000_0000 // serial data buffer
334
`define OC8051_RST_PCON 8'b0000_0000 // power control register
335
 
336
 
337
 
338
`define OC8051_RST_RCAP2H 8'h00 // timer 2 capture high
339
`define OC8051_RST_RCAP2L 8'h00 // timer 2 capture low
340
 
341
`define OC8051_RST_T2CON 8'h00 // timer 2 control register
342
`define OC8051_RST_T2MOD 8'h00 // timer 2 mode control
343
`define OC8051_RST_TH2 8'h00 // timer 2 high
344
`define OC8051_RST_TL2 8'h00 // timer 2 low
345
 
346
 
347
//
348
// alu source 1 select
349
//
350
`define OC8051_AS1_RAM  3'b000 // RAM
351
`define OC8051_AS1_OP1  3'b111 //
352
`define OC8051_AS1_OP2  3'b001 //
353
`define OC8051_AS1_OP3  3'b010 //
354
`define OC8051_AS1_ACC  3'b011 // accumulator
355
`define OC8051_AS1_PCH  3'b100 //
356
`define OC8051_AS1_PCL  3'b101 //
357
`define OC8051_AS1_DC   3'b000 //
358
 
359
//
360
// alu source 2 select
361
//
362
`define OC8051_AS2_RAM   3'b00 // RAM
363
`define OC8051_AS2_ACC   3'b01 // accumulator
364
`define OC8051_AS2_ZERO  3'b10 // 8'h00
365
`define OC8051_AS2_OP2   3'b11 //
366
 
367
`define OC8051_AS2_DC    3'b00 //
368
 
369
//
370
// alu source 3 select
371
//
372
`define OC8051_AS3_DP   1'b0 // data pointer
373
`define OC8051_AS3_PC   1'b1 // program clunter
374
//`define OC8051_AS3_PCU  3'b101 // program clunter not registered
375
`define OC8051_AS3_DC   1'b0  //
376
 
377
 
378
//
379
//write sfr
380
//
381
`define OC8051_WRS_N    2'b00  //no
382
`define OC8051_WRS_ACC1 2'b01  // acc destination 1
383
`define OC8051_WRS_ACC2 2'b10  // acc destination 2
384
`define OC8051_WRS_DPTR 2'b11  // data pointer
385
 
386
 
387
//
388
// ram read select
389
//
390
 
391
`define OC8051_RRS_RN   3'b000 // registers
392
`define OC8051_RRS_I    3'b001 // indirect addressing (op2)
393
`define OC8051_RRS_D    3'b010 // direct addressing
394
`define OC8051_RRS_SP   3'b011 // stack pointer
395
 
396
`define OC8051_RRS_B    3'b100 // b register
397
`define OC8051_RRS_DPTR 3'b101 // data pointer
398
`define OC8051_RRS_PSW  3'b110 // program status word
399
`define OC8051_RRS_ACC  3'b111 // acc
400
 
401
`define OC8051_RRS_DC 3'b000 // don't c
402
 
403
//
404
// ram write select
405
//
406
 
407
`define OC8051_RWS_RN 3'b000 // registers
408
`define OC8051_RWS_D  3'b001 // direct addressing
409
`define OC8051_RWS_I  3'b010 // indirect addressing
410
`define OC8051_RWS_SP 3'b011 // stack pointer
411
`define OC8051_RWS_D3 3'b101 // direct address (op3)
412
`define OC8051_RWS_D1 3'b110 // direct address (op1)
413
`define OC8051_RWS_B  3'b111 // b register
414
`define OC8051_RWS_DC 3'b000 //
415
 
416
//
417
// pc in select
418
//
419
`define OC8051_PIS_DC  3'b000 // dont c
420
`define OC8051_PIS_AL  3'b000 // alu low
421
`define OC8051_PIS_AH  3'b001 // alu high
422
`define OC8051_PIS_SO1 3'b010 // relative address, op1
423
`define OC8051_PIS_SO2 3'b011 // relative address, op2
424
`define OC8051_PIS_I11 3'b100 // 11 bit immediate
425
`define OC8051_PIS_I16 3'b101 // 16 bit immediate
426
`define OC8051_PIS_ALU 3'b110 // alu destination {des2, des1}
427
 
428
//
429
// compare source select
430
//
431
`define OC8051_CSS_AZ  2'b00 // eq = accumulator == zero
432
`define OC8051_CSS_DES 2'b01 // eq = destination == zero
433
`define OC8051_CSS_CY  2'b10 // eq = cy
434
`define OC8051_CSS_BIT 2'b11 // eq = b_in
435
`define OC8051_CSS_DC  2'b01 // don't care
436
 
437
 
438
//
439
// pc Write
440
//
441
`define OC8051_PCW_N 1'b0 // not
442
`define OC8051_PCW_Y 1'b1 // yes
443
 
444
//
445
//psw set
446
//
447
`define OC8051_PS_NOT 2'b00 // DONT
448
`define OC8051_PS_CY 2'b01 // only carry
449
`define OC8051_PS_OV 2'b10 // carry and overflov
450
`define OC8051_PS_AC 2'b11 // carry, overflov an ac...
451
 
452
//
453
// rom address select
454
//
455
`define OC8051_RAS_PC 1'b0 // program counter
456
`define OC8051_RAS_DES 1'b1 // alu destination
457
 
458
////
459
//// write accumulator
460
////
461
//`define OC8051_WA_N 1'b0 // not
462
//`define OC8051_WA_Y 1'b1 // yes
463
 
464
 
465
//
466
//memory action select
467
//
468
`define OC8051_MAS_DPTR_R 3'b000 // read from external rom: acc=(dptr)
469
`define OC8051_MAS_DPTR_W 3'b001 // write to external rom: (dptr)=acc
470
`define OC8051_MAS_RI_R   3'b010 // read from external rom: acc=(Ri)
471
`define OC8051_MAS_RI_W   3'b011 // write to external rom: (Ri)=acc
472
`define OC8051_MAS_CODE   3'b100 // read from program memory
473
`define OC8051_MAS_NO     3'b111 // no action
474
 
475
 
476
////////////////////////////////////////////////////
477
 
478
//
479
// Timer/Counter modes
480
//
481
 
482
`define OC8051_MODE0 2'b00  // mode 0
483
`define OC8051_MODE1 2'b01  // mode 0
484
`define OC8051_MODE2 2'b10  // mode 0
485
`define OC8051_MODE3 2'b11  // mode 0
486
 
487
 
488
//
489
// Interrupt numbers (vectors)
490
//
491
 
492
`define OC8051_INT_X0   8'h03  // external interrupt 0
493
`define OC8051_INT_T0   8'h0b  // T/C 0 owerflow interrupt
494
`define OC8051_INT_X1   8'h13  // external interrupt 1
495
`define OC8051_INT_T1   8'h1b  // T/C 1 owerflow interrupt
496
`define OC8051_INT_UART 8'h23  // uart interrupt
497
`define OC8051_INT_T2   8'h2b  // T/C 2 owerflow interrupt
498
 
499
 
500
//
501
// interrupt levels
502
//
503
 
504
`define OC8051_ILEV_L0 1'b0  // interrupt on level 0
505
`define OC8051_ILEV_L1 1'b1  // interrupt on level 1
506
 
507
//
508
// interrupt sources
509
//
510
`define OC8051_ISRC_NO   3'b000  // no interrupts
511
`define OC8051_ISRC_IE0  3'b001  // EXTERNAL INTERRUPT 0
512
`define OC8051_ISRC_TF0  3'b010  // t/c owerflov 0
513
`define OC8051_ISRC_IE1  3'b011  // EXTERNAL INTERRUPT 1
514
`define OC8051_ISRC_TF1  3'b100  // t/c owerflov 1
515
`define OC8051_ISRC_UART 3'b101  // UART  Interrupt
516
`define OC8051_ISRC_T2   3'b110  // t/c owerflov 2
517
 
518
 
519
 
520
//
521
// miscellaneus
522
//
523
 
524
`define OC8051_RW0 1'b1
525
`define OC8051_RW1 1'b0
526
 
527
 
528
//
529
// read modify write instruction
530
//
531
 
532
`define OC8051_RMW_Y 1'b1  // yes
533
`define OC8051_RMW_N 1'b0  // no

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.