OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [verif/] [run/] [compile.modelsim] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dinesha
#!/bin/csh
2
 
3
if(! -e work) then
4
   vlib work
5
endif
6
 
7 14 dinesha
vlog -work work +define+SFLASH_SPDUP -sv -f filelist_top.f

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.