OpenCores
URL https://opencores.org/ocsvn/opb_usblite/opb_usblite/trunk

Subversion Repositories opb_usblite

[/] [opb_usblite/] [trunk/] [schematic/] [usbif.opj] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 rehnmaak
(ExpressProject "usbif"
2
  (ProjectVersion "19981106")
3
  (ProjectType "PCB")
4
  (Folder "Design Resources"
5
    (Folder "Library")
6
    (NoModify)
7
    (File ".\usbif.dsn"
8
      (Type "Schematic Design"))
9
    (BuildFileAddedOrDeleted "x")
10
    (CompileFileAddedOrDeleted "x")
11
    (ANNOTATE_Scope "0")
12
    (ANNOTATE_Mode "1")
13
    (ANNOTATE_Action "0")
14
    (Annotate_Page_Order "0")
15
    (ANNOTATE_Reset_References_to_1 "FALSE")
16
    (ANNOTATE_No_Page_Number_Change "FALSE")
17
    (ANNOTATE_Property_Combine "{Value}{Source Package}{POWER_GROUP}")
18
    (ANNOTATE_IncludeNonPrimitive "FALSE")
19
    (ANNOTATE_Refdes_Control_Required "FALSE")
20
    (Annotate_type "Default")
21
    (width_pages "100")
22
    (width_start "80")
23
    (width_End "80"))
24
  (Folder "Outputs")
25
  (Folder "Referenced Projects")
26
  (PartMRUSelector
27
    (PAX_TITLEBLOCKX
28
      (LibraryName "X:\CIS\LIBRARIES\PAX_TITLEBLOCK.OLB")
29
      (DeviceIndex "0"))
30
    (Resistor
31
      (FullPartName "Resistor.Normal")
32
      (LibraryName "X:\CIS\LIBRARIES\PE_PASSIVE.OLB")
33
      (DeviceIndex "0"))
34
    (Zener
35
      (FullPartName "Zener.Normal")
36
      (LibraryName "X:\CIS\LIBRARIES\PE_DISCRETE.OLB")
37
      (DeviceIndex "0"))
38
    (Capacitor
39
      (FullPartName "Capacitor.Normal")
40
      (LibraryName "X:\CIS\LIBRARIES\PE_PASSIVE.OLB")
41
      (DeviceIndex "0"))
42
    (VCC_ARROW
43
      (LibraryName "C:\ORCAD\ORCAD_16.2\TOOLS\CAPTURE\LIBRARY\CAPSYM.OLB")
44
      (DeviceIndex "0"))
45
    (GND_SIGNAL
46
      (LibraryName "C:\ORCAD\ORCAD_16.2\TOOLS\CAPTURE\LIBRARY\CAPSYM.OLB")
47
      (DeviceIndex "0"))
48
    ("Connector 4+2GND"
49
      (FullPartName "Connector 4+2GND.Normal")
50
      (LibraryName "X:\CIS\LIBRARIES\PE_CONNECTOR.OLB")
51
      (DeviceIndex "0"))
52
    (USB1T11
53
      (FullPartName "USB1T11.Normal")
54
      (LibraryName "X:\CIS\LIBRARIES\AKRELIB.OLB")
55
      (DeviceIndex "0")))
56
  (LastUsedLibraryBrowseDirectory "X:\CIS\Libraries")
57
  (GlobalState
58
    (FileView
59
      (Path "Design Resources")
60
      (Path "Design Resources" ".\usbif.dsn")
61
      (Path "Design Resources" ".\usbif.dsn" "Design Cache")
62
      (Select "Design Resources" ".\usbif.dsn"))
63
    (HierarchyView)
64
    (Doc
65
      (Type "COrCapturePMDoc")
66
      (Frame
67
        (Placement "44 0 1 -1 -1 -4 -23 0 200 0 253"))
68
      (Tab 0))
69
    (Doc
70
      (Type "COrSchematicDoc")
71
      (Frame
72
        (Placement "44 2 3 -1 -1 -4 -23 22 1051 22 302")
73
        (Scroll "-192 -7")
74
        (Zoom "65")
75
        (Occurrence "/"))
76
      (Path "C:\AKRE\USBTEST\USBIF.DSN")
77
      (Schematic "SCHEMATIC1")
78
      (Page "PAGE1"))))

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.