OpenCores
URL https://opencores.org/ocsvn/openhmc/openhmc/trunk

Subversion Repositories openhmc

[/] [openhmc/] [trunk/] [openHMC/] [sim/] [tb/] [bfm/] [src/] [targets/] [dut_openhmc_behavioral_bfm.sv] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 juko
/*
2
 *                              .--------------. .----------------. .------------.
3
 *                             | .------------. | .--------------. | .----------. |
4
 *                             | | ____  ____ | | | ____    ____ | | |   ______ | |
5
 *                             | ||_   ||   _|| | ||_   \  /   _|| | | .' ___  || |
6
 *       ___  _ __   ___ _ __  | |  | |__| |  | | |  |   \/   |  | | |/ .'   \_|| |
7
 *      / _ \| '_ \ / _ \ '_ \ | |  |  __  |  | | |  | |\  /| |  | | || |       | |
8
 *       (_) | |_) |  __/ | | || | _| |  | |_ | | | _| |_\/_| |_ | | |\ `.___.'\| |
9
 *      \___/| .__/ \___|_| |_|| ||____||____|| | ||_____||_____|| | | `._____.'| |
10
 *           | |               | |            | | |              | | |          | |
11
 *           |_|               | '------------' | '--------------' | '----------' |
12
 *                              '--------------' '----------------' '------------'
13
 *
14
 *  openHMC - An Open Source Hybrid Memory Cube Controller
15
 *  (C) Copyright 2014 Computer Architecture Group - University of Heidelberg
16
 *  www.ziti.uni-heidelberg.de
17
 *  B6, 26
18
 *  68159 Mannheim
19
 *  Germany
20
 *
21
 *  Contact: openhmc@ziti.uni-heidelberg.de
22
 *  http://ra.ziti.uni-heidelberg.de/openhmc
23
 *
24
 *   This source file is free software: you can redistribute it and/or modify
25
 *   it under the terms of the GNU Lesser General Public License as published by
26
 *   the Free Software Foundation, either version 3 of the License, or
27
 *   (at your option) any later version.
28
 *
29
 *   This source file is distributed in the hope that it will be useful,
30
 *   but WITHOUT ANY WARRANTY; without even the implied warranty of
31
 *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
32
 *   GNU Lesser General Public License for more details.
33
 *
34
 *   You should have received a copy of the GNU Lesser General Public License
35
 *   along with this source file.  If not, see .
36
 *
37
 *
38
 */
39
 
40
`default_nettype none
41
`timescale 100ps/10ps
42
 
43
module dut #(
44
    //*************************Don't touch! Control the design with arguments when executing run.sh
45
        parameter LOG_NUM_LANES             = `LOG_NUM_LANES,
46
    parameter NUM_LANES                 = 2**LOG_NUM_LANES,
47
    parameter LOG_FPW                   = `LOG_FPW,
48
    parameter FPW                       = `FPW,
49
    parameter DWIDTH                    = 128*FPW,
50
    parameter NUM_DATA_BYTES            = 16*FPW,
51
    parameter LANE_WIDTH                = DWIDTH / NUM_LANES
52
    //*************************
53
    )
54
    (
55
    //AXI4 user clock
56
    input wire clk_user,
57
    //125MHz reference clock
58
    input wire clk_hmc_refclk,
59
    //Global reset
60
    input wire res_n,
61
 
62
    //AXI4 interface ports
63
    axi4_stream_if axi4_req,
64
    axi4_stream_if axi4_rsp,
65
 
66
    //Register File interface
67
    cag_rgm_rfs_if rfs_hmc
68
);
69
 
70
//----------------------------- Wiring openHMC controller
71
wire [DWIDTH-1:0]       to_serializers;
72
wire [DWIDTH-1:0]       from_deserializers;
73
wire [NUM_LANES-1:0]    bit_slip;
74
wire [NUM_LANES-1:0]    phy_lane_polarity;
75
bit                     P_RST_N;
76 15 juko
//If transceiver models are used, clk_hmc should be sourced from the transceiver outclock and res_n hmc can be set independently
77
wire clk_hmc            = clk_user;
78
wire res_n_hmc          = res_n;
79 12 juko
 
80
// Wire the HMC BFM model
81
wire            LxRXPS; // HMC input
82
wire            LxTXPS; // HMC output
83
wire            FERR_N; // HMC output
84
wire [16-1:0]   LxRXP;
85
wire [16-1:0]   LxRXN;
86
wire [16-1:0]   LxTXP;
87
wire [16-1:0]   LxTXN;
88
 
89
//----------------------------- Signal Routing from SerDes to HMC
90
wire [NUM_LANES-1:0] serial_Rx;
91
wire [NUM_LANES-1:0] serial_Txn;
92
wire [NUM_LANES-1:0] serial_Txp;
93
 
94
assign LxRXP        = serial_Txp;
95
assign LxRXN        = ~LxRXP;
96
assign serial_Rx    = LxTXP[NUM_LANES-1:0];
97
assign serial_Txn = ~serial_Txp;
98
 
99
//----------------------------- Attach the Register File System interface
100
assign rfs_hmc_if.clk = clk_hmc;
101 15 juko
assign rfs_hmc_if.res_n = res_n_hmc;
102 12 juko
 
103 15 juko
//Assign the AXI4 IF
104
assign axi4_req.ACLK        = (`OPENHMC_ASYNC_FIFOS==0) ? clk_hmc : clk_user;
105
assign axi4_rsp.ACLK        = (`OPENHMC_ASYNC_FIFOS==0) ? clk_hmc : clk_user;
106
assign axi4_req.ARESET_N    = (`OPENHMC_ASYNC_FIFOS==0) ? res_n_hmc : res_n;
107
assign axi4_rsp.ARESET_N    = (`OPENHMC_ASYNC_FIFOS==0) ? res_n_hmc : res_n;
108
 
109 12 juko
//----------------------------- Generate Clocks
110
bit clk_10G;
111
generate
112
    begin : clocking_gen
113
        initial clk_10G = 1'b1;
114
        always #0.05ns clk_10G = ~clk_10G;
115
    end
116
endgenerate
117
 
118
//----------------------------- Behavioral SerDes
119 15 juko
bit LxTXPS_synced;
120 12 juko
genvar lane;
121
generate
122
    begin : serializers_gen
123
 
124
        for (lane=0; lane
125
            serializer #(
126
                .DWIDTH(LANE_WIDTH)
127
            ) serializer_I (
128
                .clk(clk_hmc),
129 15 juko
                .res_n(res_n),
130 12 juko
                .fast_clk(clk_10G),
131
                .data_in(to_serializers[lane*LANE_WIDTH+LANE_WIDTH-1:lane*LANE_WIDTH]),
132
                .data_out(serial_Txp[lane])
133
            );
134
            deserializer #(
135
                .DWIDTH(LANE_WIDTH)
136
            ) deserializer_I (
137
                .clk(clk_hmc),
138 15 juko
                .res_n(LxTXPS_synced && res_n),
139 12 juko
                .fast_clk(clk_10G),
140
                .bit_slip(bit_slip[lane]),
141
                .lane_polarity(phy_lane_polarity[lane]),
142
                .data_in(serial_Rx[lane]),
143
                .data_out(from_deserializers[lane*LANE_WIDTH+LANE_WIDTH-1:lane*LANE_WIDTH])
144
            );
145
        end
146
    end
147
endgenerate
148 15 juko
always @(posedge clk_hmc) LxTXPS_synced <= LxTXPS;
149 12 juko
//=====================================================================================================
150
//-----------------------------------------------------------------------------------------------------
151
//---------INSTANTIATIONS HERE-------------------------------------------------------------------------
152
//-----------------------------------------------------------------------------------------------------
153
//=====================================================================================================
154
openhmc_top #(
155
    .LOG_FPW(LOG_FPW),
156
    .FPW(FPW),
157
    .LOG_NUM_LANES(LOG_NUM_LANES),
158
    //Configure the Functionality
159 15 juko
    .LOG_MAX_RX_TOKENS(10),
160
    .LOG_MAX_HMC_TOKENS(10),                //That is max 1023 Tokens
161 12 juko
    .HMC_RX_AC_COUPLED(1),
162 15 juko
    .CTRL_LANE_POLARITY(1),
163 12 juko
    .CTRL_LANE_REVERSAL(1),
164
    .BITSLIP_SHIFT_RIGHT(1),
165 15 juko
    .OPEN_RSP_MODE(`OPEN_RSP_MODE),
166
    .SYNC_AXI4_IF(`OPENHMC_ASYNC_FIFOS==0),
167 12 juko
    //Debug Logic
168
    .DBG_RX_TOKEN_MON(1)    //Required by the test check sequence
169
 )
170
openhmc_instance
171
 (
172
 
173
    //----------------------------------
174
    //----SYSTEM INTERFACES
175
    //----------------------------------
176
    .clk_user(clk_user),
177
    .clk_hmc(clk_hmc),
178
    .res_n_user(res_n),
179
    .res_n_hmc(res_n),
180
 
181
    //----------------------------------
182
    //----Connect HMC Controller
183
    //----------------------------------
184
    //to TX
185
    .s_axis_tx_TVALID(axi4_req.TVALID),
186
    .s_axis_tx_TREADY(axi4_req.TREADY),
187
    .s_axis_tx_TDATA(axi4_req.TDATA),
188
    .s_axis_tx_TUSER(axi4_req.TUSER),
189
    //from RX
190
    .m_axis_rx_TVALID(axi4_rsp.TVALID),
191
    .m_axis_rx_TREADY(axi4_rsp.TREADY),
192
    .m_axis_rx_TDATA(axi4_rsp.TDATA),
193
    .m_axis_rx_TUSER(axi4_rsp.TUSER),
194
 
195
    //----------------------------------
196
    //----Connect Physical Link
197
    //----------------------------------
198
    .phy_data_tx_link2phy(to_serializers),
199
    .phy_data_rx_phy2link(from_deserializers),
200
    .phy_bit_slip(bit_slip),
201 15 juko
    .phy_tx_ready(res_n),
202
    .phy_rx_ready(res_n),
203 12 juko
    .phy_lane_polarity(phy_lane_polarity),
204 15 juko
    .phy_init_cont_set(),
205 12 juko
 
206
    //----------------------------------
207
    //----Connect HMC
208
    //----------------------------------
209
    .P_RST_N(P_RST_N),
210 15 juko
    .LXRXPS(LxRXPS),
211
    .LXTXPS(LxTXPS),
212 12 juko
    .FERR_N(FERR_N),
213
 
214
    //----------------------------------
215
    //----Connect RF
216
    //----------------------------------
217
    .rf_address(rfs_hmc.address),
218
    .rf_read_data(rfs_hmc.read_data),
219
    .rf_invalid_address(rfs_hmc.invalid_address),
220
    .rf_access_complete(rfs_hmc.access_done),
221
    .rf_read_en(rfs_hmc.ren),
222
    .rf_write_en(rfs_hmc.wen),
223
    .rf_write_data(rfs_hmc.write_data)
224
 
225
    );
226
 
227
 
228
    //********************************************************************************
229
    //   From MICRON's hmc_bfm_tb.sv
230
    //******************************************
231
    //BFM
232
    hmc_bfm #(
233
        .num_links_c    (1)
234
    )
235
    hmc_bfm0 (
236
        .LxRXP          (LxRXP),
237
        .LxRXN          (LxRXN),
238
        .LxTXP          (LxTXP),
239
        .LxTXN          (LxTXN),
240
        .LxRXPS         (LxRXPS),
241
        .LxTXPS         (LxTXPS),
242
        .FERR_N         (FERR_N),
243
 
244
        .REFCLKP        (clk_hmc_refclk),
245
        .REFCLKN        (~clk_hmc_refclk),
246
        .REFCLKSEL      (1'b0),
247
        .P_RST_N        (P_RST_N),
248
 
249
        .TRST_N         (1'b0),
250
        .TCK            (1'b0),
251
        .TMS            (1'b0),
252
        .TDI            (1'b0),
253
        .TDO            (),
254
 
255
        .SCL            (1'b0),
256
        .SDA            (),
257
 
258
        .CUB            (3'b0),
259
        .REFCLK_BOOT    (2'b0),
260
 
261
        .EXTRESTP       (),
262
        .EXTRESTN       (),
263
        .EXTRESBP       (),
264
        .EXTRESBN       ()
265
    );
266
 
267
endmodule : dut
268
 
269
`default_nettype wire
270
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.