OpenCores
URL https://opencores.org/ocsvn/opentech/opentech/trunk

Subversion Repositories opentech

[/] [opentech/] [web_uploads/] [contents_1_5_1.txt] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
For Detailed information of the tools check www.OpenCollector.org
2
 
3
 
4
1. Designs (Designs CDrom)
5
  1) 4stack : CPU
6
  2) balloon  ARM development board
7
  3) Alltel
8
  4) Cornbread: IO board
9
  5) cpcng board prject site
10
  6) Crypto:  DES core
11
  7) DLX processor model
12
  8) DPRGIR IR Proximity Detector
13
  9) efi engine control
14
  10) f-cpu design
15
  11) feS2: fpga evaluation board
16
  12) Flapjack : IO board
17
  13) FMF (Free Model Foundation) site
18
  14) fpgaarcad designs
19
  15) fracn fractional-N frequency dividers.
20
  16) freecore : some  cores targeted to altera
21
  17) free-ip designs and site
22
  18) Free-risc8: CPU Project
23
  19) gBios: GNU bios
24
  20) jamCPU
25
  21) Khatib site and free cores
26
  22) LART Project
27
  23) Leon-II: Sparc CPU
28
  24) LIAB3Ddist: Linux in a Box project
29
  25) Logic Analyzer core
30
  26) m65 CPU
31
  27) MegaSquirt
32
  28) mPGA: Meta FPGA project
33
  29) msl16
34
  30) Ogg On Chip
35
  31) OpenBT project
36
  32) OpenAutomationProject
37
  33) openUP: CPU
38
  34) openwince drivers
39
  35) pancham: MD5 message digest algorithm
40
  36) Piranha: CPU Project
41
  37) pjrc
42
  38) PS-XPC84 board
43
  39) risc8: CPU Project
44
  40) Ronja:  Open-Hardware optical datalink that connects two PC's point-to-point
45
  41) rs-codec
46
  42) rstk
47
  43) Sayuri : CPU
48
  44) servomaster: servo motor
49
  45) slc1657: microcontroller
50
  46) SOC board
51
  47) T80 cpu
52
  48) te16: FPGA CPU
53
  49) Traja: Java Processor
54
  50) vhdlcohen: design files
55
  51) Some VHDL cores
56
  52) VHDL examples and tutorials
57
  53) wireless
58
  54) Wishbone SOC bus specifications
59
  55) xr16vx: CPU
60
  56) elphel
61
  57) wrec
62
  58) UTNios processor
63
  59) grlib (set of reusable IPs)
64
  60) Handasa Arabia site
65
  61) VLSI technology library
66
 
67
2. Tools (Tools 1 & 2 CDroms)
68
== Analysis
69
 1) altc (Arbitrary Tranmission Line Calculator)
70
 2) kfilter : filter design tool
71
 3) mpac (MicroStrip Patch Antenna Calculator)
72
 4) ntesla: coil calculator
73
 5) vipec: high frequency tool
74
 6) Turns-n
75
 7) fastcap
76
 8) fasteny
77
 9) induct
78
 10) sline
79
 11) FXTransformer : transformers tool
80
 
81
== Design entry
82
  1) bitgen: converting digital bitstreams to analog voltage sources
83
  2) BoardStatus:
84
  3) Brusey20: state diagram editor
85
  4) chipmunk tools
86
  5) ChipVault: HDL hierarchy tool
87
  6) Circuit_macros for Latex
88
  7) circuitsimth:
89
  8) dia: block diagrams and flow charts drawing tool
90
  9) dtools: several scripting tools
91
  10) edascript
92
  11) eda-index
93
  12) edif-parser
94
  13) Electric: compiler and simulator. full IC design system
95
  14) Emacs modes: VHDL, Verilog ....
96
  15) fsm tool
97
  16) Gael:
98
  17) GDSreader: GDS files reader
99
  18) gEDA Tools: schematic and netlisting
100
  19) Grdrv for protel
101
  20) gtree
102
  21) HDLmaker   hierarchy tool
103
  22) IDaSS
104
  23) JARP petri net drawing tool
105
  24) NGpaint: Drawing tool
106
  25) NMICROCODER  microcode generator
107
  26) pinout: script for drwing chip pins
108
  27) qfsm: fsm drawing tool
109
  28)  REDS: Schematics and PCB
110
  29) SNV: verilog editor
111
  30) sarlacc: Orcad to geda convertor
112
  31) SimpleElib: electric library
113
  32) spp: Perl wrapper for Synopsys' shells
114
  33) tEDA
115
  34) trtab: truth table generator
116
  35) VGI: VHDL Graphical Interface
117
  36) Voyeur: design veiwer
118
  37) xcircuit: schematic drawing tool
119
  38) TinyCad: schematic drawing tool
120
  39) Gnetman: net manupilation tool
121
  40) DataDraw
122
  41) veditor: Verilog and VHDL editor
123
  42) Kicad
124
 
125
 
126
== Synthesis
127
- MVSIS
128
- bexpred
129
 
130
== Instruments
131
  1) bsosc: Bitscope software
132
  2) GPIB-tcl: General purpose interface bus TCL extension
133
  3) Kdmm: DMM
134
  4) multimeter
135
  5) ODDAS: Open Source DAS software
136
  6) oscope
137
  7) qtDMM : DMM
138
  8) qtDSO: DSO
139
  9) scope
140
  10) zmeter :Serial Digital Multimeter Interface
141
  11) qoscc: DSO
142
 
143
== IC layout /VLSI
144
   1) Magic: IC layout tool
145
   2) p2m: Image to layout tool
146
   3) Chipmunk
147
   4) MGEN
148
   5) net
149
   6) pplot
150
   7) octtools
151
   8) PDTools
152
 
153
== PCB layout
154
   1) gbliter: Gerber Printed Circuit Board Tiling Utility
155
   2) gerb2tif : gerper file to tiff convertor
156
   3) gerber2pdf
157
   4) gerbv : gerber file viewer
158
   5) kic
159
   6) lasagne: PCB layout tool
160
   7) mucs
161
   8) PCB layout tool
162
   9) pcbca
163
   10) punto
164
   11) traxlaserplot
165
   12) xelenECAD
166
   13) xmlpcbrender
167
   14) xroadmaker
168
   15) gerbmerge
169
   16) gerber2eps
170
   17) gervJava
171
   18) pcbeditor
172
   19) Free PCB
173
   20) Kicad
174
 
175
== uC tools
176
  1) asm_c84
177
  2) Broccoli18
178
  3) gpasm
179
  4) gpprog
180
  5) gpsim
181
  6) npc
182
  7) pic_cc PIC compiler
183
  8) picprog PIC driver
184
  9) picasm
185
  10) picdis
186
  11) picsim
187
  12) prog84-0.9
188
  13) Pp06: PP06 Programmer Software
189
  14) Sdcc
190
  15) vc
191
  16) xnitpic X Window Simulator for PIC
192
  17) gpicd
193
  18) ptkl
194
  19) yapide
195
  20) KTechlab
196
  21) PiKdev
197
  22) MicroDev
198
  23) Odyssey
199
 
200
== PLD
201
  1) JBits-Interface
202
  2) palstuff
203
  3) Vpr PPR tool
204
  4) xnf-tools
205
  5) xstools: xess boards tools
206
  6) ptgnlfsr
207
  7) JHDL
208
  8) asfpga
209
  9) virtextools
210
  10) vpr_virtex
211
 
212
== Simulation
213
  1) alice OpenVera tool
214
  2) cynLib verification tool
215
  3) danalyzer: Digital Logic Analyzer
216
  4) DigiTcl: Digital simulator
217
  5) dinotrace:  viewing signal wave forms
218
  6) gmos: Semiconductor simulator
219
  7) gossip-sim: synchronous data flow simulation
220
  8) gtkwave
221
  9) gwave: wave form viewer
222
  10) hadlop230 : simulation  for digital optoelectronic
223
  11) irsim: logic simulator for MOS
224
  12) klogic
225
  13) pcisim: PCI simulator
226
  14) pns
227
  15) polis
228
  16) ptolemy: communication and DSP simulators
229
  17) retro
230
  18) s5: simulation of programnable logic controler
231
  19) SIMsynch:
232
  20) thud:  RTL simulation environment
233
  21) Timver: timing verify circuits against specifications
234
  22) tkgate: event-driven simulator
235
  23) Udl/I:Unified Design Language for Integrated Circuits
236
  24) vstgl: Visual STG Lab
237
  25) SUGAR MEMS simulator
238
  26) jsim
239
  27) dlsim
240
  28) krelais
241
  29) tlogsim
242
  30) SS1
243
  31) ksimus
244
  32) decida
245
  33) gnucap
246
  34) SystemC-Vergs
247
  35) SystemPerl
248
  36) ViPEC
249
  37) MNEMS
250
  38) SAMSA
251
  39) GPLCver
252
  40) qucs
253
  41) SIMSYNCH
254
 
255
== Spice
256
 1) Al's Circuit Simulator
257
 2) autochar "Automatic cell characterization of digital circuits.
258
 3) gSpice
259
 4) hsview: Simulation viewer
260
 5) macspice
261
 6) ng-spice : Spice
262
 7) oregano: schematic capture and simulation
263
 8) spice3f5 : Spice
264
 9) spicecad : schematic entry for the anlog simulator
265
 10) Spice-perl scripts
266
 11) spicepp  spice scripts
267
 12) spiceprm spice preprocessor
268
 13) wave 2 hspice tool
269
 14) jjmodel
270
 15) Spice +
271
 
272
 
273
== Synthesis
274
 1) Alliance : VHDL compiler, simulator and synthesis tool
275
 2) Boolean:
276
 3) Espresso
277
 4) icarus VERILOG COMPILATION SYSTEM
278
 5) Udl/I:Unified Design Language for Integrated Circuits
279
 6) Boolean Simplification
280
 7) boolstuff : boolean tools
281
 8) qmc
282
 
283
== Verification
284
  1) Covered: Coverage Tool  (added)
285
  2) Fcoverage:  Functional coverage tool (added)
286
  3) JEDA:  hardware design verification  Programming Language  (added)
287
  4) RHDL
288
  5) MyHDL
289
  6) atpg
290
  7) NuSMV
291
  8) vtracer
292
  9) confluence
293
  10) systemperl
294
  11) Teal
295
 
296
 == Verilog
297
  1) dinotrace
298
  2) Gslondon
299
  3) icarus VERILOG COMPILATION SYSTEM
300
  4) io    Reading files from Verilog models
301
  5) ivl
302
  6) parser
303
  7) pli_socket_example
304
  8) smi
305
  9) V2k    parser, database, and elaborator.
306
  10) VBS    Verilog Behavioral Simulation
307
  11) Vcd wave veiwer
308
  12) ver    Structural Verilog Compiler.
309
  13) Verilog2C++ : verilog to C++ convertor
310
  14) verilog 2 vhdl
311
  15) Verilog Perl Package
312
  16) Verilog-Pli
313
  17) vex
314
  18) vIDE Editor
315
  19) vtrace
316
  20) VL
317
  21) vpp
318
  22) IVI
319
  23) v-ms Verilog AMS
320
  24) csv-verilog-maker
321
  25) cver
322
  26) Veritlator
323
  27) informal
324
  28)  sc2v
325
  29) Mariana
326
 
327
== VHDL
328
 1) Alliance : compiler, simulator and synthesis tool
329
 2) blowfish
330
 3) vhd2vl: vhdl to verilog convertor
331
 4) vhdl2html convertor
332
 5) vhdl2verilog convertor
333
 6) Fio package
334
 7) freehdl :vhdl compiler
335
 8) Gslondon
336
 9) savant : vhdl simulator
337
 10) vdt : vhdl compiler
338
 11) VHDL++
339
 12) VHDL-parser :
340
 13) Some VHDL tools
341
 14) Xfuzzy : vhdl tool for fuzzy system
342
 15) freehdl
343
 16) ghdl
344
 17) VHDL2vlg
345
 18) signs
346
 19) VHDL parser
347
 
348
== Factory and other tools
349
   1) bdm: mpc850 BDM software and design
350
   2) can controller tool
351
   3) ColdFire: emulator
352
   4) e_factory
353
   5) emc: Enhanced Machine Controller
354
   6) gbi Parallel port control
355
   7) io: IO interfacing board software
356
   8) JTAG utility
357
   9) ppc
358
   10) protoype
359
   11) ianjtag
360
   12) jyuGem: Implements the communications stack for semiconductor fabs based on SEMI standards.
361
   13) jyusecs
362
   14) jtag-tools
363
 
364
== ROM Programmers
365
   1)  Eep
366
   2)  spiprog
367
   3)  srecord
368
   4) beprommer
369
   5) ppep
370
 
371
== Modeling
372
1) simuted
373
2) Gezel
374
3) Plois
375
4) Potlemy II
376
 
377
3. OpenCores.org (OC designs CDrom)
378
 OpenCores site and CVS images
379
 
380
 
381
4. Extra Utilities (Tools 1 CDrom)
382
   1) Emacs   : editor
383
   2) Xemacs  : editor
384
   3) nEdit   : editor
385
   4) WinCvs  : CVS
386
   5) tcsh: OS shell (wiondows and Unix)
387
   6) Perl
388
   7) glimmer: editor
389
 
390
5. Documents (Designs cdrom)
391
  1) VHDL-FAQ
392
  2) VLSI lectures
393
  3) CVS book
394
 
395
6.   Some Libraries needed by some tools (Tools CDrom @)
396
 
397
7. OpenRisk1000 processor (OpenRisk CDroms 1&2)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.