OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f33m_inv.v] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 homer.xing
`timescale 1ns / 1ps
2
`include "../rtl/inc.v"
3
 
4
module test_f33m_inv;
5
 
6 22 homer.xing
    // Inputs
7
    reg clk;
8
    reg reset;
9
    reg [`W3:0] a, w;
10 7 homer.xing
 
11 22 homer.xing
    // Outputs
12
    wire done;
13
    wire [`W3:0] c;
14 7 homer.xing
 
15 22 homer.xing
    // Instantiate the Unit Under Test (UUT)
16
    f33m_inv uut (
17
        .clk(clk),
18
        .reset(reset),
19
        .a(a),
20
        .c(c),
21
        .done(done)
22
    );
23 7 homer.xing
 
24 22 homer.xing
    initial begin
25
        // Initialize Inputs
26
        clk = 0;
27
        reset = 0;
28
        a = 0;
29 7 homer.xing
 
30 22 homer.xing
        // Wait 100 ns for global reset to finish
31
        #100;
32 7 homer.xing
 
33 22 homer.xing
        // Add stimulus here
34 7 homer.xing
        a = {194'h210226252a484596150544098559162512219149194a91008,194'h12622041181115a64a84159a001a15a0a0609a642962068a5,194'h25429526606a8552a8622169050aa29921641120a05866014};
35
        w = {194'h9a08022aa299850a48900010428a4aa66211109901a00a89,194'h95869a60454411009148081200aaaa121864220208592809,194'h564a6642212a164990212611055046496851a96918954695};
36
        @ (negedge clk); reset = 1;
37
        @ (posedge clk); reset = 0;
38
        @ (posedge done); @(negedge clk);
39
        if (c !== w) $display("E");
40
        $finish;
41 22 homer.xing
    end
42 7 homer.xing
 
43
    always #5 clk = ~clk;
44
endmodule
45
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.