OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f36m.v] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 homer.xing
`timescale 1ns / 1ps
2
 
3
module test_f36m;
4
 
5 22 homer.xing
    // Inputs
6
    reg clk;
7
    reg reset;
8
    reg [1163:0] a, b;
9 4 homer.xing
 
10 22 homer.xing
    // Outputs
11
    wire done;
12 4 homer.xing
    wire [1163:0] c;
13
 
14 22 homer.xing
    // Instantiate the Unit Under Test (UUT)
15
    f36m_mult uut (
16
        .clk(clk),
17
        .reset(reset),
18
        .a(a),
19
        .b(b),
20
        .c(c),
21
        .done(done)
22
    );
23 4 homer.xing
 
24 22 homer.xing
    initial begin
25
        // Initialize Inputs
26
        clk = 0;
27
        reset = 0;
28
        a = 0;
29
        b = 0;
30 4 homer.xing
 
31 22 homer.xing
        // Wait 100 ns for global reset to finish
32
        #100;
33 4 homer.xing
 
34 22 homer.xing
        // Add stimulus here
35 4 homer.xing
        a = {{194'h8864990666a959a88500249a244495aaa26a2a0194082aa1,194'h2a9481526946468065456052045865262520a4a9520a5a665},{194'h185218150022515648a249a8945625895448860a18905a018,194'h269862628a1aa4489059585a002520602618299155aa0aa54},{194'h24a8112565595199615504222108089046890965559999a54,194'h989802898a9580a8264a8516568952918645268868608988}};
36
        b = {{194'h116698585aa229805611194a6520151245204aa9114a89200,194'h8855225a25520a048a912141800501862189941946906540},{194'h292a05921518651529280825a940a22016016415906190642,194'h25a4455a419606606081860a1094a05996914048469499412},{194'h11a1415465625aa59489642111440112690a8546992a61802,194'h690a815a0a6885852602a4a5a1281458010a81184288441a}};
37
 
38
        @ (negedge clk); reset = 1;
39
        @ (negedge clk); reset = 0;
40
        @ (posedge done);
41
        if(c !== {{194'h20964a58198526a89908a8246a49a0958a50656861418129a,194'h82844161404829960541524906188a258291288809246094},{194'h244a6514510aa60069644265a521a842510205155684162a9,194'h855a41584a4a255a40140599a9615a659295558a28416964},{194'h244640626652050a984212441486528499a42961809802284,194'h54281a964289aa80a65948592648549526652aa40504254}})
42
            $display("E");
43
        #100;
44
        $finish;
45 22 homer.xing
    end
46 4 homer.xing
 
47
    always #5 clk = ~clk;
48
endmodule
49
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.