OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_f36m_cubic.v] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 homer.xing
`timescale 1ns / 1ns
2 7 homer.xing
`include "../rtl/inc.v"
3 4 homer.xing
 
4
module test_f36m_cubic;
5
 
6 22 homer.xing
    // Inputs
7
    reg clk;
8
    reg [`W6:0] a;
9
    wire [`W6:0] c;
10 4 homer.xing
 
11 22 homer.xing
    // Instantiate the Unit Under Test (UUT)
12
    f36m_cubic uut (
13
        .clk(clk),
14
        .a(a),
15
        .c(c)
16
    );
17 4 homer.xing
 
18 22 homer.xing
    initial begin
19
        // Initialize Inputs
20
        clk = 0;
21
        a = 0;
22 4 homer.xing
 
23 22 homer.xing
        // Wait 100 ns for global reset to finish
24
        #100;
25 4 homer.xing
 
26 22 homer.xing
        // Add stimulus here
27 4 homer.xing
        a = {{194'h225016412804a89a862aa1865268898886919259910155856,194'h10258285148a0048861944a264aa161a048829812a1961218},{194'ha9a29a12069660862a6a651806416061940925809115510a,194'h4115a2024962a809a065428aa6088668249a2890a5518a69},{194'h12918199902558a859412a9596148a00520685401210a95a8,194'h1505090561625145816a11225085092955995885598049126}};
28
        #100;
29
        if(c !== {{194'ha9926611a84a4114aa562246626418486540006a4829a014,194'h8644a469852659949412582a1a262145524206028042690a},{194'h2585255021628414524615aa156881a642605a0a446018622,194'haaa8806216a0555a04194a2110464440a2964246a56a1020},{194'h14092128882119a9a050a6149146a21810891996014002449,194'h14980a940a502a4821852486460690605815894849aa20a08}})
30
            $display("E");
31
        $finish;
32 22 homer.xing
    end
33 4 homer.xing
 
34
    always #5 clk = ~clk;
35
endmodule
36
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.