OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_second_part.v] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 homer.xing
`timescale 1ns / 1ns
2
`include "../rtl/inc.v"
3
 
4
module test_second_part;
5
 
6 22 homer.xing
    // Inputs
7
    reg clk;
8
    reg reset;
9
    reg [`W6:0] a,w;
10 8 homer.xing
 
11 22 homer.xing
    // Outputs
12
    wire done;
13
    wire [`W6:0] c;
14 8 homer.xing
 
15 22 homer.xing
    // Instantiate the Unit Under Test (UUT)
16
    second_part uut (
17
        .clk(clk),
18
        .reset(reset),
19
        .a(a),
20
        .c(c),
21
        .done(done)
22
    );
23 8 homer.xing
 
24
    always #5 clk = ~clk;
25
 
26 22 homer.xing
    initial begin
27
        // Initialize Inputs
28
        clk = 0;
29
        reset = 0;
30
        a = 0;
31 8 homer.xing
 
32 22 homer.xing
        // Wait 100 ns for global reset to finish
33
        #100;
34 8 homer.xing
 
35 22 homer.xing
        // Add stimulus here
36 8 homer.xing
        a = {{194'h1204a208505851241694660a526600a5458a2146924560a45,194'h205aaa952a9194aa810582958a44a26450215504612a46414},{194'h2a45a0864044919108410218084641146a6998849a4621651,194'h22a848590260089606082518041602a196a616829a2a80140},{194'h19491668519a946a6024288a5112a24a61a09955a90a1a228,194'h89204a1905581664001424a2218884a81a4018082628016a}};
37
        w = {{194'h29a595141a15aaaaa986118869958824916644820599a9105,194'h1058412a52a604a8928a154a55625062004a8156558a25456},{194'h265269409a62958689a49a5044a024a4944252894154a5089,194'h1a00a6298165562952615a009190225988a28809955a49aaa},{194'h2285858aa2486869a809409269941a8595252895401015459,194'h124469156610a888686061a9128002611404aa18a26850589}};
38
        @ (negedge clk); reset = 1;
39
        @ (negedge clk); reset = 0;
40
        @ (posedge done);
41
        @ (negedge clk);
42
        if (c !== w) $display("E");
43
        $finish;
44 22 homer.xing
    end
45 8 homer.xing
 
46
endmodule
47
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.