OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [web_uploads/] [documentation.shtml] - Blame information for rev 156

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 156 root
<!--# set var="title" value="Title" -->
2
<!--# include virtual="/ssi/ssi_start.shtml" -->
3
<b><font size=+2 face="Helvetica, Arial"color=#bf0000>Project Name: PCI bridge</font></b>
4
<p><table  align=center border=1 cellPadding=2 cellSpacing=0 width="100%" valign="top">
5
<tbody><tr bgcolor=#bbccff>    <td align=center valign=center>
6
<a href="http://www.opencores.org/cores/pci/index.shtml">Introduction</a>               |
7
<a href="http://www.opencores.org/cores/pci/documentation.shtml">Documentation</a>               |
8
<a href="http://www.opencores.org/cores/pci/charact.shtml">Characteristics</a>               |
9
<a href="http://www.opencores.org/cores/pci/current_stat.shtml">Current Status</a>               |
10
<a href="http://www.opencores.org/cores/pci/todo_list.shtml">To Do list</a>               |
11
<a href="http://www.opencores.org/cores/pci/test_app.shtml">Test Application</a>               |
12
<a href="http://www.opencores.org/cores/pci/download.shtml">Download</a>               |
13
<a href="http://www.opencores.org/cores/pci/testbench.shtml">Testbench</a>               |
14
<a href="http://www.opencores.org/cores/pci/references.shtml">References</a>               |
15
<a href="http://www.opencores.org/cores/pci/links.shtml">Links</a>               |
16
<a href="mailto:pci@opencores.org">Mailing list</a>               |
17
<a href="http://www.opencores.org/cores/pci/contacts.shtml">Contacts</a>
18
</td></tr></tbody>
19
</table>
20
<table border=0 cellPadding=0 cellSpacing=0 width="100%">
21
<tbody><tr><td>
22
<p><center><font color="#bf0000" size=+3><b>Documentation</b></font></center>
23
</p></td></tr>
24
 
25
<tr><td align=left>
26
<font color="000088"size=+1>
27
<b>Summary<br>
28
</b></font>
29
</td></tr>
30
<tr><td align=left>
31
<font>
32
<br>
33
The PCI IP core (PCI bridge) provides an interface between the WISHBONE SoC bus and the PCI
34
local bus. It consists of two independent units, one handling transactions originating on the
35
PCI bus, the other one handling transactions originating on the WISHBONE bus.
36
<br><br>
37
The core has been designed to offer as much flexibility as possible to all kinds of applications.
38
The following lists the main features of the PCI IP core:
39
<br><br>
40
<ul>
41
<li type=circle>32-bit PCI interface
42
<li type=circle>Fully PCI 2.2 compliant (with 66 MHz PCI specification)
43
<li type=circle>Separated initiator and target functional blocks
44
<li type=circle>Supported initiator commands and functions:
45
<li type=disc>   Memory Read, Memory Write
46
<li type=disc>   Memory Read Multiple (MRM)
47
<li type=disc>   Memory Read Line (MRL)
48
<li type=disc>   I/O Read, I/O Write
49
<li type=disc>   Configuration Read, Configuration Write
50
<li type=disc>   Bus Parking
51
<li type=disc>   Interrupt Acknowledge
52
<li type=disc>   Host Bridging
53
<li type=circle>Supported target commands and functions:
54
<li type=disc>   Type 0 Configuration Space Header<br>
55
        (Type 0 is used to configure agents on the same bus segment)<br>
56
        (Type 1 is used to configure across PCI-to-PCI bridges)
57
<li type=disc>   Parity Generation (PAR), Parity Error Detection (PERR# and SERR#)
58
<li type=disc>   Memory Read, Memory Write
59
<li type=disc>   Memory Read Multiple (MRM)
60
<li type=disc>   Memory Read Line (MRL)
61
<li type=disc>   Memory Write and Invalidate (MWI)
62
<li type=disc>   I/O Read, I/O Write
63
<li type=disc>   Configuration Read, Configuration Write
64
<li type=disc>   Target Abort, Target Retry, Target Disconnect
65
<li type=disc>   Full Command/Status registers
66
<li type=circle>WISHBONE SoC Interconnection Rev. B compliant interface on processor side (master with Target PCI and slave with Initiator PCI interface)
67
<li type=circle>Configurable on-chip FIFOs
68
</ul>
69
<br><br>
70
A detailed PCI IP Core Spcification is available on the following link:<br>
71
<h3><a href="http://www.opencores.org/cgi-bin/cvsget.cgi/pci/doc/pci_specification.pdf">PCI
72
Bridge IP Core Specification, Rev 0.5</a> (616 kB) PDF document</h3>
73
<br><br>
74
</font>
75
</td></tr>
76
</table><!--# include virtual="/ssi/ssi_end.shtml" -->

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.