OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [core/] [ds_dma64/] [pcie_src/] [pcie_core64_m1/] [source_artix7/] [cl_a7pcie_x4_pipe_rate.v] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 dsmv
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information
6
// of Xilinx, Inc. and is protected under U.S. and
7
// international copyright and other intellectual property
8
// laws.
9
//
10
// DISCLAIMER
11
// This disclaimer is not a license and does not grant any
12
// rights to the materials distributed herewith. Except as
13
// otherwise provided in a valid license issued to you by
14
// Xilinx, and to the maximum extent permitted by applicable
15
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
// (2) Xilinx shall not be liable (whether in contract or tort,
21
// including negligence, or under any other theory of
22
// liability) for any loss or damage of any kind or nature
23
// related to, arising under or in connection with these
24
// materials, including for any direct, or any indirect,
25
// special, incidental, or consequential loss or damage
26
// (including loss of data, profits, goodwill, or any type of
27
// loss or damage suffered as a result of any action brought
28
// by a third party) even if such damage or loss was
29
// reasonably foreseeable or Xilinx had been advised of the
30
// possibility of the same.
31
//
32
// CRITICAL APPLICATIONS
33
// Xilinx products are not designed or intended to be fail-
34
// safe, or for use in any application requiring fail-safe
35
// performance, such as life-support or safety devices or
36
// systems, Class III medical devices, nuclear facilities,
37
// applications related to the deployment of airbags, or any
38
// other applications that could lead to death, personal
39
// injury, or severe property or environmental damage
40
// (individually and collectively, "Critical
41
// Applications"). Customer assumes the sole risk and
42
// liability of any use of Xilinx products in Critical
43
// Applications, subject only to applicable laws and
44
// regulations governing limitations on product liability.
45
//
46
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
// PART OF THIS FILE AT ALL TIMES.
48
//
49
//-----------------------------------------------------------------------------
50
// Project    : Series-7 Integrated Block for PCI Express
51
// File       : cl_a7pcie_x4_pipe_rate.v
52 49 dsmv
// Version    : 1.11
53 46 dsmv
//------------------------------------------------------------------------------
54
//  Filename     :  pipe_rate.v
55
//  Description  :  PIPE Rate Module for 7 Series Transceiver
56
//  Version      :  20.1
57
//------------------------------------------------------------------------------
58
 
59
 
60
 
61
`timescale 1ns / 1ps
62
 
63
 
64
 
65
//---------- PIPE Rate Module --------------------------------------------------
66
module cl_a7pcie_x4_pipe_rate #
67
(
68
 
69
    parameter PCIE_SIM_SPEEDUP  = "FALSE",                  // PCIe sim speedup
70
    parameter PCIE_GT_DEVICE    = "GTX",                    // PCIe GT device
71
    parameter PCIE_USE_MODE     = "3.0",                    // PCIe use mode
72
    parameter PCIE_PLL_SEL      = "CPLL",                   // PCIe PLL select for Gen1/Gen2 only
73
    parameter PCIE_POWER_SAVING = "TRUE",                   // PCIe power saving
74
    parameter PCIE_ASYNC_EN     = "FALSE",                  // PCIe async enable
75
    parameter PCIE_TXBUF_EN     = "FALSE",                  // PCIe TX buffer enable for Gen1/Gen2 only
76
    parameter PCIE_RXBUF_EN     = "TRUE",                   // PCIe RX buffer enable for Gen3      only
77
    parameter TXDATA_WAIT_MAX   = 4'd15                     // TXDATA wait max
78
 
79
)
80
 
81
(
82
 
83
    //---------- Input -------------------------------------
84
    input               RATE_CLK,
85
    input               RATE_RST_N,
86
    input               RATE_RST_IDLE,
87
    input               RATE_ACTIVE_LANE,
88
    input       [ 1:0]  RATE_RATE_IN,
89
    input               RATE_CPLLLOCK,
90
    input               RATE_QPLLLOCK,
91
    input               RATE_MMCM_LOCK,
92
    input               RATE_DRP_DONE,
93
    input               RATE_RXPMARESETDONE,
94
    input               RATE_TXRESETDONE,
95
    input               RATE_RXRESETDONE,
96
    input               RATE_TXRATEDONE,
97
    input               RATE_RXRATEDONE,
98
    input               RATE_PHYSTATUS,
99
    input               RATE_RESETOVRD_DONE,
100
    input               RATE_TXSYNC_DONE,
101
    input               RATE_RXSYNC_DONE,
102
 
103
    //---------- Output ------------------------------------
104
    output              RATE_CPLLPD,
105
    output              RATE_QPLLPD,
106
    output              RATE_CPLLRESET,
107
    output              RATE_QPLLRESET,
108
    output              RATE_TXPMARESET,
109
    output              RATE_RXPMARESET,
110
    output              RATE_DRP_START,
111
    output      [ 1:0]  RATE_SYSCLKSEL,
112
    output              RATE_PCLK_SEL,
113
    output              RATE_GEN3,
114
    output              RATE_DRP_X16X20_MODE,
115
    output              RATE_DRP_X16,
116
    output      [ 2:0]  RATE_RATE_OUT,
117
    output              RATE_RESETOVRD_START,
118
    output              RATE_TXSYNC_START,
119
    output              RATE_DONE,
120
    output              RATE_RXSYNC_START,
121
    output              RATE_RXSYNC,
122
    output              RATE_IDLE,
123
    output      [ 4:0]  RATE_FSM
124
 
125
);
126
 
127
    //---------- Input FF or Buffer ------------------------
128 48 dsmv
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rst_idle_reg1;
129
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg         [ 1:0]  rate_in_reg1;
130
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 cplllock_reg1;
131
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 qplllock_reg1;
132
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 mmcm_lock_reg1;
133
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 drp_done_reg1;
134
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxpmaresetdone_reg1;
135
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 txresetdone_reg1;
136
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxresetdone_reg1;
137
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 txratedone_reg1;
138
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxratedone_reg1;
139
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 phystatus_reg1;
140
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 resetovrd_done_reg1;
141
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 txsync_done_reg1;
142
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxsync_done_reg1;
143 46 dsmv
 
144 48 dsmv
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rst_idle_reg2;
145
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg         [ 1:0]  rate_in_reg2;
146
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 cplllock_reg2;
147
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 qplllock_reg2;
148
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 mmcm_lock_reg2;
149
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 drp_done_reg2;
150
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxpmaresetdone_reg2;
151
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 txresetdone_reg2;
152
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxresetdone_reg2;
153
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 txratedone_reg2;
154
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxratedone_reg2;
155
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 phystatus_reg2;
156
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 resetovrd_done_reg2;
157
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 txsync_done_reg2;
158
(* ASYNC_REG = "TRUE", SHIFT_EXTRACT = "NO" *)    reg                 rxsync_done_reg2;
159 46 dsmv
 
160
    //---------- Internal Signals --------------------------
161
    wire                pll_lock;
162
    wire        [ 2:0]  rate;
163
    reg         [ 3:0]  txdata_wait_cnt = 4'd0;
164
    reg                 txratedone      = 1'd0;
165
    reg                 rxratedone      = 1'd0;
166
    reg                 phystatus       = 1'd0;
167
    reg                 ratedone        = 1'd0;
168
    reg                 gen3_exit       = 1'd0;
169
 
170
    //---------- Output FF or Buffer -----------------------
171
    reg                 cpllpd     =  1'd0;
172
    reg                 qpllpd     =  1'd0;
173
    reg                 cpllreset  =  1'd0;
174
    reg                 qpllreset  =  1'd0;
175
    reg                 txpmareset =  1'd0;
176
    reg                 rxpmareset =  1'd0;
177
    reg         [ 1:0]  sysclksel  = (PCIE_PLL_SEL == "QPLL") ? 2'd1 : 2'd0;
178
    reg                 gen3       =  1'd0;
179
    reg                 pclk_sel   =  1'd0;
180
    reg         [ 2:0]  rate_out   =  3'd0;
181
    reg                 drp_start       = 1'd0;
182
    reg                 drp_x16x20_mode = 1'd0;
183
    reg                 drp_x16         = 1'd0;
184
    reg         [ 4:0]  fsm        = 0;
185
 
186
    //---------- FSM ---------------------------------------                                         
187
    localparam          FSM_IDLE               = 0;
188
    localparam          FSM_PLL_PU             = 1; // Gen 3 only
189
    localparam          FSM_PLL_PURESET        = 2; // Gen 3 only
190
    localparam          FSM_PLL_LOCK           = 3; // Gen 3 or reset only
191
    localparam          FSM_DRP_X16_GEN3_START = 4;
192
    localparam          FSM_DRP_X16_GEN3_DONE  = 5;
193
    localparam          FSM_PMARESET_HOLD      = 6; // Gen 3 or reset only
194
    localparam          FSM_PLL_SEL            = 7; // Gen 3 or reset only   
195
    localparam          FSM_MMCM_LOCK          = 8; // Gen 3 or reset only             
196
    localparam          FSM_DRP_START          = 9; // Gen 3 or reset only                                 
197
    localparam          FSM_DRP_DONE           = 10; // Gen 3 or reset only
198
    localparam          FSM_PMARESET_RELEASE   = 11; // Gen 3 only
199
    localparam          FSM_PMARESET_DONE      = 12; // Gen 3 only
200
    localparam          FSM_TXDATA_WAIT        = 13;
201
    localparam          FSM_PCLK_SEL           = 14;
202
    localparam          FSM_DRP_X16_START      = 15;
203
    localparam          FSM_DRP_X16_DONE       = 16;
204
    localparam          FSM_RATE_SEL           = 17;
205
    localparam          FSM_RXPMARESETDONE     = 18;
206
    localparam          FSM_DRP_X20_START      = 19;
207
    localparam          FSM_DRP_X20_DONE       = 20;
208
    localparam          FSM_RATE_DONE          = 21;
209
    localparam          FSM_RESETOVRD_START    = 22; // PCIe use mode 1.0 only
210
    localparam          FSM_RESETOVRD_DONE     = 23; // PCIe use mode 1.0 only
211
    localparam          FSM_PLL_PDRESET        = 24;
212
    localparam          FSM_PLL_PD             = 25;
213
    localparam          FSM_TXSYNC_START       = 26;
214
    localparam          FSM_TXSYNC_DONE        = 27;
215
    localparam          FSM_DONE               = 28; // Must sync value to pipe_user.v
216
    localparam          FSM_RXSYNC_START       = 29; // Gen 3 only
217
    localparam          FSM_RXSYNC_DONE        = 30; // Gen 3 only                                    
218
 
219
 
220
 
221
//---------- Input FF ----------------------------------------------------------
222
always @ (posedge RATE_CLK)
223
begin
224
 
225
    if (!RATE_RST_N)
226
        begin
227
        //---------- 1st Stage FF -------------------------- 
228
        rst_idle_reg1       <= 1'd0;
229
        rate_in_reg1        <= 2'd0;
230
        cplllock_reg1       <= 1'd0;
231
        qplllock_reg1       <= 1'd0;
232
        mmcm_lock_reg1      <= 1'd0;
233
        drp_done_reg1       <= 1'd0;
234
        rxpmaresetdone_reg1 <= 1'd0;
235
        txresetdone_reg1    <= 1'd0;
236
        rxresetdone_reg1    <= 1'd0;
237
        txratedone_reg1     <= 1'd0;
238
        rxratedone_reg1     <= 1'd0;
239
        phystatus_reg1      <= 1'd0;
240
        resetovrd_done_reg1 <= 1'd0;
241
        txsync_done_reg1    <= 1'd0;
242
        rxsync_done_reg1    <= 1'd0;
243
        //---------- 2nd Stage FF --------------------------
244
        rst_idle_reg2       <= 1'd0;
245
        rate_in_reg2        <= 2'd0;
246
        cplllock_reg2       <= 1'd0;
247
        qplllock_reg2       <= 1'd0;
248
        mmcm_lock_reg2      <= 1'd0;
249
        drp_done_reg2       <= 1'd0;
250
        rxpmaresetdone_reg2 <= 1'd0;
251
        txresetdone_reg2    <= 1'd0;
252
        rxresetdone_reg2    <= 1'd0;
253
        txratedone_reg2     <= 1'd0;
254
        rxratedone_reg2     <= 1'd0;
255
        phystatus_reg2      <= 1'd0;
256
        resetovrd_done_reg2 <= 1'd0;
257
        txsync_done_reg2    <= 1'd0;
258
        rxsync_done_reg2    <= 1'd0;
259
        end
260
    else
261
        begin
262
        //---------- 1st Stage FF --------------------------
263
        rst_idle_reg1       <= RATE_RST_IDLE;
264
        rate_in_reg1        <= RATE_RATE_IN;
265
        cplllock_reg1       <= RATE_CPLLLOCK;
266
        qplllock_reg1       <= RATE_QPLLLOCK;
267
        mmcm_lock_reg1      <= RATE_MMCM_LOCK;
268
        drp_done_reg1       <= RATE_DRP_DONE;
269
        rxpmaresetdone_reg1 <= RATE_RXPMARESETDONE;
270
        txresetdone_reg1    <= RATE_TXRESETDONE;
271
        rxresetdone_reg1    <= RATE_RXRESETDONE;
272
        txratedone_reg1     <= RATE_TXRATEDONE;
273
        rxratedone_reg1     <= RATE_RXRATEDONE;
274
        phystatus_reg1      <= RATE_PHYSTATUS;
275
        resetovrd_done_reg1 <= RATE_RESETOVRD_DONE;
276
        txsync_done_reg1    <= RATE_TXSYNC_DONE;
277
        rxsync_done_reg1    <= RATE_RXSYNC_DONE;
278
        //---------- 2nd Stage FF --------------------------
279
        rst_idle_reg2       <= rst_idle_reg1;
280
        rate_in_reg2        <= rate_in_reg1;
281
        cplllock_reg2       <= cplllock_reg1;
282
        qplllock_reg2       <= qplllock_reg1;
283
        mmcm_lock_reg2      <= mmcm_lock_reg1;
284
        drp_done_reg2       <= drp_done_reg1;
285
        rxpmaresetdone_reg2 <= rxpmaresetdone_reg1;
286
        txresetdone_reg2    <= txresetdone_reg1;
287
        rxresetdone_reg2    <= rxresetdone_reg1;
288
        txratedone_reg2     <= txratedone_reg1;
289
        rxratedone_reg2     <= rxratedone_reg1;
290
        phystatus_reg2      <= phystatus_reg1;
291
        resetovrd_done_reg2 <= resetovrd_done_reg1;
292
        txsync_done_reg2    <= txsync_done_reg1;
293
        rxsync_done_reg2    <= rxsync_done_reg1;
294
        end
295
 
296
end
297
 
298
 
299
 
300
//---------- Select CPLL or QPLL Lock ------------------------------------------
301
//  Gen1 : Wait for QPLL lock if QPLL is used for Gen1/Gen2, else wait for CPLL lock 
302
//  Gen2 : Wait for QPLL lock if QPLL is used for Gen1/Gen2, else wait for CPLL lock
303
//  Gen3 : Wait for QPLL lock
304
//------------------------------------------------------------------------------
305
assign pll_lock = (rate_in_reg2 == 2'd2) || (PCIE_PLL_SEL == "QPLL") ? qplllock_reg2 : cplllock_reg2;
306
 
307
 
308
 
309
//---------- Select Rate -------------------------------------------------------
310
//  Gen1 : Div 4 using [TX/RX]OUT_DIV = 4 if QPLL is used for Gen1/Gen2, else div 2 using [TX/RX]OUT_DIV = 2
311
//  Gen2 : Div 2 using [TX/RX]RATE = 3'd2 if QPLL is used for Gen1/Gen2, else div 1 using [TX/RX]RATE = 3'd1
312
//  Gen3 : Div 1 using [TX/RX]OUT_DIV = 1
313
//------------------------------------------------------------------------------
314
assign rate = (rate_in_reg2 == 2'd1) && (PCIE_PLL_SEL == "QPLL") ? 3'd2 :
315
              (rate_in_reg2 == 2'd1) && (PCIE_PLL_SEL == "CPLL") ? 3'd1 : 3'd0;
316
 
317
 
318
 
319
//---------- TXDATA Wait Counter -----------------------------------------------
320
always @ (posedge RATE_CLK)
321
begin
322
 
323
    if (!RATE_RST_N)
324
        txdata_wait_cnt <= 4'd0;
325
    else
326
 
327
        //---------- Increment Wait Counter ----------------
328
        if ((fsm == FSM_TXDATA_WAIT) && (txdata_wait_cnt < TXDATA_WAIT_MAX))
329
            txdata_wait_cnt <= txdata_wait_cnt + 4'd1;
330
 
331
        //---------- Hold Wait Counter ---------------------
332
        else if ((fsm == FSM_TXDATA_WAIT) && (txdata_wait_cnt == TXDATA_WAIT_MAX))
333
            txdata_wait_cnt <= txdata_wait_cnt;
334
 
335
        //---------- Reset Wait Counter --------------------
336
        else
337
            txdata_wait_cnt <= 4'd0;
338
 
339
end
340
 
341
 
342
 
343
//---------- Latch TXRATEDONE, RXRATEDONE, and PHYSTATUS -----------------------
344
always @ (posedge RATE_CLK)
345
begin
346
 
347
    if (!RATE_RST_N)
348
        begin
349
        txratedone <= 1'd0;
350
        rxratedone <= 1'd0;
351
        phystatus  <= 1'd0;
352
        ratedone   <= 1'd0;
353
        end
354
    else
355
        begin
356
 
357
        if (fsm == FSM_RATE_DONE)
358
 
359
            begin
360
 
361
            //---------- Latch TXRATEDONE ------------------
362
            if (txratedone_reg2)
363
                txratedone <= 1'd1;
364
            else
365
                txratedone <= txratedone;
366
 
367
            //---------- Latch RXRATEDONE ------------------
368
            if (rxratedone_reg2)
369
                rxratedone <= 1'd1;
370
            else
371
                rxratedone <= rxratedone;
372
 
373
            //---------- Latch PHYSTATUS -------------------
374
            if (phystatus_reg2)
375
                phystatus <= 1'd1;
376
            else
377
                phystatus <= phystatus;
378
 
379
            //---------- Latch Rate Done -------------------
380
            if (rxratedone && txratedone && phystatus)
381
                ratedone <= 1'd1;
382
            else
383
                ratedone <= ratedone;
384
 
385
            end
386
 
387
        else
388
 
389
            begin
390
            txratedone <= 1'd0;
391
            rxratedone <= 1'd0;
392
            phystatus  <= 1'd0;
393
            ratedone   <= 1'd0;
394
            end
395
 
396
        end
397
 
398
end
399
 
400
 
401
 
402
//---------- PIPE Rate FSM -----------------------------------------------------
403
always @ (posedge RATE_CLK)
404
begin
405
 
406
    if (!RATE_RST_N)
407
        begin
408
        fsm        <= FSM_PLL_LOCK;
409
        gen3_exit  <= 1'd0;
410
        cpllpd     <= 1'd0;
411
        qpllpd     <= 1'd0;
412
        cpllreset  <= 1'd0;
413
        qpllreset  <= 1'd0;
414
        txpmareset <= 1'd0;
415
        rxpmareset <= 1'd0;
416
        sysclksel  <= (PCIE_PLL_SEL == "QPLL") ? 2'd1 : 2'd0;
417
        pclk_sel   <= 1'd0;
418
        gen3       <= 1'd0;
419
        rate_out   <= 3'd0;
420
        drp_start       <= 1'd0;
421
        drp_x16x20_mode <= 1'd0;
422
        drp_x16         <= 1'd0;
423
        end
424
    else
425
        begin
426
 
427
        case (fsm)
428
 
429
        //---------- Idle State ----------------------------
430
        FSM_IDLE :
431
 
432
            begin
433
            //---------- Detect Rate Change ----------------
434
            if (rate_in_reg2 != rate_in_reg1)
435
                begin
436
                fsm        <= ((rate_in_reg2 == 2'd2) || (rate_in_reg1 == 2'd2)) ? FSM_PLL_PU : FSM_TXDATA_WAIT;
437
                gen3_exit  <= (rate_in_reg2 == 2'd2);
438
                cpllpd     <= cpllpd;
439
                qpllpd     <= qpllpd;
440
                cpllreset  <= cpllreset;
441
                qpllreset  <= qpllreset;
442
                txpmareset <= txpmareset;
443
                rxpmareset <= rxpmareset;
444
                sysclksel  <= sysclksel;
445
                pclk_sel   <= pclk_sel;
446
                gen3       <= gen3;
447
                rate_out   <= rate_out;
448
                drp_start       <= 1'd0;
449
                drp_x16x20_mode <= 1'd0;
450
                drp_x16         <= 1'd0;
451
                end
452
            else
453
                begin
454
                fsm        <= FSM_IDLE;
455
                gen3_exit  <= gen3_exit;
456
                cpllpd     <= cpllpd;
457
                qpllpd     <= qpllpd;
458
                cpllreset  <= cpllreset;
459
                qpllreset  <= qpllreset;
460
                txpmareset <= txpmareset;
461
                rxpmareset <= rxpmareset;
462
                sysclksel  <= sysclksel;
463
                pclk_sel   <= pclk_sel;
464
                gen3       <= gen3;
465
                rate_out   <= rate_out;
466
                drp_start       <= 1'd0;
467
                drp_x16x20_mode <= 1'd0;
468
                drp_x16         <= 1'd0;
469
                end
470
            end
471
 
472
        //---------- Power-up PLL --------------------------
473
        FSM_PLL_PU :
474
 
475
            begin
476
            fsm        <= FSM_PLL_PURESET;
477
            gen3_exit  <= gen3_exit;
478
            cpllpd     <= (PCIE_PLL_SEL == "QPLL");
479
            qpllpd     <= 1'd0;
480
            cpllreset  <= cpllreset;
481
            qpllreset  <= qpllreset;
482
            txpmareset <= txpmareset;
483
            rxpmareset <= rxpmareset;
484
            sysclksel  <= sysclksel;
485
            pclk_sel   <= pclk_sel;
486
            gen3       <= gen3;
487
            rate_out   <= rate_out;
488
            drp_start       <= 1'd0;
489
            drp_x16x20_mode <= 1'd0;
490
            drp_x16         <= 1'd0;
491
            end
492
 
493
        //---------- Release PLL Resets --------------------
494
        FSM_PLL_PURESET :
495
 
496
            begin
497
            fsm        <= FSM_PLL_LOCK;
498
            gen3_exit  <= gen3_exit;
499
            cpllpd     <= cpllpd;
500
            qpllpd     <= qpllpd;
501
            cpllreset  <= (PCIE_PLL_SEL == "QPLL");
502
            qpllreset  <= 1'd0;
503
            txpmareset <= txpmareset;
504
            rxpmareset <= rxpmareset;
505
            sysclksel  <= sysclksel;
506
            pclk_sel   <= pclk_sel;
507
            gen3       <= gen3;
508
            rate_out   <= rate_out;
509
            drp_start       <= 1'd0;
510
            drp_x16x20_mode <= 1'd0;
511
            drp_x16         <= 1'd0;
512
            end
513
 
514
        //---------- Wait for PLL Lock ---------------------
515
        FSM_PLL_LOCK :
516
 
517
            begin
518
            fsm        <= (pll_lock ? ((!rst_idle_reg2 || (rate_in_reg2 == 2'd1)) ? FSM_PMARESET_HOLD : FSM_DRP_X16_GEN3_START) : FSM_PLL_LOCK);
519
            gen3_exit  <= gen3_exit;
520
            cpllpd     <= cpllpd;
521
            qpllpd     <= qpllpd;
522
            cpllreset  <= cpllreset;
523
            qpllreset  <= qpllreset;
524
            txpmareset <= txpmareset;
525
            rxpmareset <= rxpmareset;
526
            sysclksel  <= sysclksel;
527
            pclk_sel   <= pclk_sel;
528
            gen3       <= gen3;
529
            rate_out   <= rate_out;
530
            drp_start       <= 1'd0;
531
            drp_x16x20_mode <= 1'd0;
532
            drp_x16         <= 1'd0;
533
            end
534
 
535
        //---------- Start DRP x16 -------------------------
536
        FSM_DRP_X16_GEN3_START :
537
 
538
            begin
539
            fsm        <= (!drp_done_reg2) ? FSM_DRP_X16_GEN3_DONE : FSM_DRP_X16_GEN3_START;
540
            gen3_exit  <= gen3_exit;
541
            cpllpd     <= cpllpd;
542
            qpllpd     <= qpllpd;
543
            cpllreset  <= cpllreset;
544
            qpllreset  <= qpllreset;
545
            txpmareset <= txpmareset;
546
            rxpmareset <= rxpmareset;
547
            sysclksel  <= sysclksel;
548
            pclk_sel   <= pclk_sel;
549
            gen3       <= gen3;
550
            rate_out   <= rate_out;
551
            drp_start       <= 1'd1;
552
            drp_x16x20_mode <= 1'd1;
553
            drp_x16         <= 1'd1;
554
            end
555
 
556
        //---------- Wait for DRP x16 Done -----------------    
557
        FSM_DRP_X16_GEN3_DONE :
558
 
559
            begin
560
            fsm        <= drp_done_reg2 ? FSM_PMARESET_HOLD : FSM_DRP_X16_GEN3_DONE;
561
            gen3_exit  <= gen3_exit;
562
            cpllpd     <= cpllpd;
563
            qpllpd     <= qpllpd;
564
            cpllreset  <= cpllreset;
565
            qpllreset  <= qpllreset;
566
            txpmareset <= txpmareset;
567
            rxpmareset <= rxpmareset;
568
            sysclksel  <= sysclksel;
569
            pclk_sel   <= pclk_sel;
570
            gen3       <= gen3;
571
            rate_out   <= rate_out;
572
            drp_start       <= 1'd0;
573
            drp_x16x20_mode <= 1'd1;
574
            drp_x16         <= 1'd1;
575
            end
576
 
577
        //---------- Hold both PMA in Reset ----------------
578
        //  Gen1 : Release PMA Reset
579
        //  Gen2 : Release PMA Reset
580
        //  Gen3 : Hold PMA Reset
581
        //--------------------------------------------------
582
        FSM_PMARESET_HOLD :
583
 
584
            begin
585
            fsm        <= FSM_PLL_SEL;
586
            gen3_exit  <= gen3_exit;
587
            cpllpd     <= cpllpd;
588
            qpllpd     <= qpllpd;
589
            cpllreset  <= cpllreset;
590
            qpllreset  <= qpllreset;
591
            txpmareset <= ((rate_in_reg2 == 2'd2) || gen3_exit);
592
            rxpmareset <= ((rate_in_reg2 == 2'd2) || gen3_exit);
593
            sysclksel  <= sysclksel;
594
            pclk_sel   <= pclk_sel;
595
            gen3       <= gen3;
596
            rate_out   <= rate_out;
597
            drp_start       <= 1'd0;
598
            drp_x16x20_mode <= 1'd0;
599
            drp_x16         <= 1'd0;
600
            end
601
 
602
        //---------- Select PLL ----------------------------
603
        //  Gen1 : QPLL if PCIE_PLL_SEL = QPLL, else CPLL
604
        //  Gen2 : QPLL if PCIE_PLL_SEL = QPLL, else CPLL
605
        //  Gen3 : QPLL
606
        //--------------------------------------------------
607
        FSM_PLL_SEL :
608
 
609
            begin
610
            fsm        <= FSM_MMCM_LOCK;
611
            gen3_exit  <= gen3_exit;
612
            cpllpd     <= cpllpd;
613
            qpllpd     <= qpllpd;
614
            cpllreset  <= cpllreset;
615
            qpllreset  <= qpllreset;
616
            txpmareset <= txpmareset;
617
            rxpmareset <= rxpmareset;
618
            sysclksel  <= ((rate_in_reg2 == 2'd2) || (PCIE_PLL_SEL == "QPLL")) ? 2'd1 : 2'd0;
619
            pclk_sel   <= pclk_sel;
620
            gen3       <= gen3;
621
            rate_out   <= rate_out;
622
            drp_start       <= 1'd0;
623
            drp_x16x20_mode <= 1'd0;
624
            drp_x16         <= 1'd0;
625
            end
626
 
627
        //---------- Check for MMCM Lock -------------------
628
        FSM_MMCM_LOCK :
629
 
630
            begin
631
            fsm        <= (mmcm_lock_reg2 && !rxpmaresetdone_reg2 ? FSM_DRP_START : FSM_MMCM_LOCK);
632
            gen3_exit  <= gen3_exit;
633
            cpllpd     <= cpllpd;
634
            qpllpd     <= qpllpd;
635
            cpllreset  <= cpllreset;
636
            qpllreset  <= qpllreset;
637
            txpmareset <= txpmareset;
638
            rxpmareset <= rxpmareset;
639
            sysclksel  <= sysclksel;
640
            pclk_sel   <= pclk_sel;
641
            gen3       <= gen3;
642
            rate_out   <= rate_out;
643
            drp_start       <= 1'd0;
644
            drp_x16x20_mode <= 1'd0;
645
            drp_x16         <= 1'd0;
646
            end
647
 
648
        //---------- Start DRP -----------------------------
649
        FSM_DRP_START:
650
 
651
            begin
652
            fsm        <= (!drp_done_reg2 ? FSM_DRP_DONE : FSM_DRP_START);
653
            gen3_exit  <= gen3_exit;
654
            cpllpd     <= cpllpd;
655
            qpllpd     <= qpllpd;
656
            cpllreset  <= cpllreset;
657
            qpllreset  <= qpllreset;
658
            txpmareset <= txpmareset;
659
            rxpmareset <= rxpmareset;
660
            sysclksel  <= sysclksel;
661
            pclk_sel   <= ((rate_in_reg2 == 2'd1) || (rate_in_reg2 == 2'd2));
662
            gen3       <= (rate_in_reg2 == 2'd2);
663
            rate_out   <= (((rate_in_reg2 == 2'd2) || gen3_exit) ? rate : rate_out);
664
            drp_start       <= 1'd1;
665
            drp_x16x20_mode <= 1'd0;
666
            drp_x16         <= 1'd0;
667
            end
668
 
669
        //---------- Wait for DRP Done ---------------------
670
        FSM_DRP_DONE :
671
 
672
            begin
673
            fsm        <= ((drp_done_reg2 && pll_lock) ? (rst_idle_reg2 ? FSM_PMARESET_RELEASE : FSM_IDLE): FSM_DRP_DONE);
674
            gen3_exit  <= gen3_exit;
675
            cpllpd     <= cpllpd;
676
            qpllpd     <= qpllpd;
677
            cpllreset  <= cpllreset;
678
            qpllreset  <= qpllreset;
679
            txpmareset <= txpmareset;
680
            rxpmareset <= rxpmareset;
681
            sysclksel  <= sysclksel;
682
            pclk_sel   <= pclk_sel;
683
            gen3       <= gen3;
684
            rate_out   <= rate_out;
685
            drp_start       <= 1'd0;
686
            drp_x16x20_mode <= 1'd0;
687
            drp_x16         <= 1'd0;
688
            end
689
 
690
        //---------- Release PMA Resets --------------------
691
        FSM_PMARESET_RELEASE :
692
 
693
            begin
694
            fsm        <= FSM_PMARESET_DONE;
695
            gen3_exit  <= gen3_exit;
696
            cpllpd     <= cpllpd;
697
            qpllpd     <= qpllpd;
698
            cpllreset  <= cpllreset;
699
            qpllreset  <= qpllreset;
700
            txpmareset <= 1'd0;
701
            rxpmareset <= 1'd0;
702
            sysclksel  <= sysclksel;
703
            pclk_sel   <= pclk_sel;
704
            gen3       <= gen3;
705
            rate_out   <= rate_out;
706
            drp_start       <= 1'd0;
707
            drp_x16x20_mode <= 1'd0;
708
            drp_x16         <= 1'd0;
709
            end
710
 
711
        //---------- Wait for both TX/RX PMA Reset Dones and PHYSTATUS Deassertion
712
        FSM_PMARESET_DONE :
713
 
714
            begin
715
            fsm        <= (((rxresetdone_reg2 && txresetdone_reg2 && !phystatus_reg2) || !RATE_ACTIVE_LANE) ? FSM_TXDATA_WAIT : FSM_PMARESET_DONE);
716
            gen3_exit  <= gen3_exit;
717
            cpllpd     <= cpllpd;
718
            qpllpd     <= qpllpd;
719
            cpllreset  <= cpllreset;
720
            qpllreset  <= qpllreset;
721
            txpmareset <= txpmareset;
722
            rxpmareset <= rxpmareset;
723
            sysclksel  <= sysclksel;
724
            pclk_sel   <= pclk_sel;
725
            gen3       <= gen3;
726
            rate_out   <= rate_out;
727
            drp_start       <= 1'd0;
728
            drp_x16x20_mode <= 1'd0;
729
            drp_x16         <= 1'd0;
730
            end
731
 
732
        //---------- Wait for TXDATA to TX[P/N] Latency ----
733
        FSM_TXDATA_WAIT :
734
 
735
            begin
736
            fsm        <= (txdata_wait_cnt == TXDATA_WAIT_MAX) ? FSM_PCLK_SEL : FSM_TXDATA_WAIT;
737
            gen3_exit  <= gen3_exit;
738
            cpllpd     <= cpllpd;
739
            qpllpd     <= qpllpd;
740
            cpllreset  <= cpllreset;
741
            qpllreset  <= qpllreset;
742
            txpmareset <= txpmareset;
743
            rxpmareset <= rxpmareset;
744
            sysclksel  <= sysclksel;
745
            pclk_sel   <= pclk_sel;
746
            gen3       <= gen3;
747
            rate_out   <= rate_out;
748
            drp_start       <= 1'd0;
749
            drp_x16x20_mode <= 1'd0;
750
            drp_x16         <= 1'd0;
751
            end
752
 
753
        //---------- Select PCLK Frequency -----------------
754
        //  Gen1 : PCLK = 125 MHz
755
        //  Gen2 : PCLK = 250 MHz
756
        //  Gen3 : PCLK = 250 MHz
757
        //--------------------------------------------------
758
        FSM_PCLK_SEL :
759
 
760
            begin
761
            fsm        <= ((PCIE_GT_DEVICE == "GTH") && ((rate_in_reg2 == 2'd1) || ((!gen3_exit) && (rate_in_reg2 == 2'd0)))) ? FSM_DRP_X16_START : FSM_RATE_SEL;
762
            gen3_exit  <= gen3_exit;
763
            cpllpd     <= cpllpd;
764
            qpllpd     <= qpllpd;
765
            cpllreset  <= cpllreset;
766
            qpllreset  <= qpllreset;
767
            txpmareset <= txpmareset;
768
            rxpmareset <= rxpmareset;
769
            sysclksel  <= sysclksel;
770
            pclk_sel   <= ((rate_in_reg2 == 2'd1) || (rate_in_reg2 == 2'd2));
771
            gen3       <= gen3;
772
            rate_out   <= rate_out;
773
            drp_start       <= 1'd0;
774
            drp_x16x20_mode <= 1'd0;
775
            drp_x16         <= 1'd0;
776
            end
777
 
778
        //---------- Start DRP x16 -------------------------
779
        FSM_DRP_X16_START :
780
 
781
            begin
782
            fsm        <= (!drp_done_reg2) ? FSM_DRP_X16_DONE : FSM_DRP_X16_START;
783
            gen3_exit  <= gen3_exit;
784
            cpllpd     <= cpllpd;
785
            qpllpd     <= qpllpd;
786
            cpllreset  <= cpllreset;
787
            qpllreset  <= qpllreset;
788
            txpmareset <= txpmareset;
789
            rxpmareset <= rxpmareset;
790
            sysclksel  <= sysclksel;
791
            pclk_sel   <= pclk_sel;
792
            gen3       <= gen3;
793
            rate_out   <= rate_out;
794
            drp_start       <= 1'd1;
795
            drp_x16x20_mode <= 1'd1;
796
            drp_x16         <= 1'd1;
797
            end
798
 
799
        //---------- Wait for DRP x16 Done -----------------    
800
        FSM_DRP_X16_DONE :
801
 
802
            begin
803
            fsm        <= drp_done_reg2 ? FSM_RATE_SEL : FSM_DRP_X16_DONE;
804
            gen3_exit  <= gen3_exit;
805
            cpllpd     <= cpllpd;
806
            qpllpd     <= qpllpd;
807
            cpllreset  <= cpllreset;
808
            qpllreset  <= qpllreset;
809
            txpmareset <= txpmareset;
810
            rxpmareset <= rxpmareset;
811
            sysclksel  <= sysclksel;
812
            pclk_sel   <= pclk_sel;
813
            gen3       <= gen3;
814
            rate_out   <= rate_out;
815
            drp_start       <= 1'd0;
816
            drp_x16x20_mode <= 1'd1;
817
            drp_x16         <= 1'd1;
818
            end
819
 
820
        //---------- Select Rate ---------------------------
821
        FSM_RATE_SEL :
822
 
823
            begin
824
            fsm        <= ((PCIE_GT_DEVICE == "GTH") && ((rate_in_reg2 == 2'd1) || ((!gen3_exit) && (rate_in_reg2 == 2'd0)))) ? FSM_RXPMARESETDONE : FSM_RATE_DONE;
825
            gen3_exit  <= gen3_exit;
826
            cpllpd     <= cpllpd;
827
            qpllpd     <= qpllpd;
828
            cpllreset  <= cpllreset;
829
            qpllreset  <= qpllreset;
830
            txpmareset <= txpmareset;
831
            rxpmareset <= rxpmareset;
832
            sysclksel  <= sysclksel;
833
            pclk_sel   <= pclk_sel;
834
            gen3       <= gen3;
835
            rate_out   <= rate;                             // Update [TX/RX]RATE
836
            drp_start       <= 1'd0;
837
            drp_x16x20_mode <= 1'd0;
838
            drp_x16         <= 1'd0;
839
            end
840
 
841
        //---------- Wait for RXPMARESETDONE De-assertion --
842
        FSM_RXPMARESETDONE :
843
 
844
            begin
845
            fsm        <= (!rxpmaresetdone_reg2) ? FSM_DRP_X20_START : FSM_RXPMARESETDONE;
846
            gen3_exit  <= gen3_exit;
847
            cpllpd     <= cpllpd;
848
            qpllpd     <= qpllpd;
849
            cpllreset  <= cpllreset;
850
            qpllreset  <= qpllreset;
851
            txpmareset <= txpmareset;
852
            rxpmareset <= rxpmareset;
853
            sysclksel  <= sysclksel;
854
            pclk_sel   <= pclk_sel;
855
            gen3       <= gen3;
856
            rate_out   <= rate_out;
857
            drp_start       <= 1'd0;
858
            drp_x16x20_mode <= 1'd0;
859
            drp_x16         <= 1'd0;
860
            end
861
 
862
        //---------- Start DRP x20 -------------------------
863
        FSM_DRP_X20_START :
864
 
865
            begin
866
            fsm        <= (!drp_done_reg2) ? FSM_DRP_X20_DONE : FSM_DRP_X20_START;
867
            gen3_exit  <= gen3_exit;
868
            cpllpd     <= cpllpd;
869
            qpllpd     <= qpllpd;
870
            cpllreset  <= cpllreset;
871
            qpllreset  <= qpllreset;
872
            txpmareset <= txpmareset;
873
            rxpmareset <= rxpmareset;
874
            sysclksel  <= sysclksel;
875
            pclk_sel   <= pclk_sel;
876
            gen3       <= gen3;
877
            rate_out   <= rate_out;
878
            drp_start       <= 1'd1;
879
            drp_x16x20_mode <= 1'd1;
880
            drp_x16         <= 1'd0;
881
            end
882
 
883
        //---------- Wait for DRP x20 Done -----------------    
884
        FSM_DRP_X20_DONE :
885
 
886
            begin
887
            fsm        <= drp_done_reg2 ? FSM_RATE_DONE : FSM_DRP_X20_DONE;
888
            gen3_exit  <= gen3_exit;
889
            cpllpd     <= cpllpd;
890
            qpllpd     <= qpllpd;
891
            cpllreset  <= cpllreset;
892
            qpllreset  <= qpllreset;
893
            txpmareset <= txpmareset;
894
            rxpmareset <= rxpmareset;
895
            sysclksel  <= sysclksel;
896
            pclk_sel   <= pclk_sel;
897
            gen3       <= gen3;
898
            rate_out   <= rate_out;
899
            drp_start       <= 1'd0;
900
            drp_x16x20_mode <= 1'd1;
901
            drp_x16         <= 1'd0;
902
            end
903
 
904
        //---------- Wait for Rate Change Done ------------- 
905
        FSM_RATE_DONE :
906
 
907
            begin
908
            if (ratedone || (rate_in_reg2 == 2'd2) || (gen3_exit) || !RATE_ACTIVE_LANE)
909
                if ((PCIE_USE_MODE == "1.0") && (rate_in_reg2 != 2'd2) && (!gen3_exit))
910
                    fsm <= FSM_RESETOVRD_START;
911
                else
912
                    fsm <= FSM_PLL_PDRESET;
913
            else
914
                fsm <= FSM_RATE_DONE;
915
 
916
            gen3_exit  <= gen3_exit;
917
            cpllpd     <= cpllpd;
918
            qpllpd     <= qpllpd;
919
            cpllreset  <= cpllreset;
920
            qpllreset  <= qpllreset;
921
            txpmareset <= txpmareset;
922
            rxpmareset <= rxpmareset;
923
            sysclksel  <= sysclksel;
924
            pclk_sel   <= pclk_sel;
925
            gen3       <= gen3;
926
            rate_out   <= rate_out;
927
            drp_start       <= 1'd0;
928
            drp_x16x20_mode <= 1'd0;
929
            drp_x16         <= 1'd0;
930
            end
931
 
932
        //---------- Reset Override Start ------------------
933
        FSM_RESETOVRD_START:
934
 
935
            begin
936
            fsm        <= (!resetovrd_done_reg2 ? FSM_RESETOVRD_DONE : FSM_RESETOVRD_START);
937
            gen3_exit  <= gen3_exit;
938
            cpllpd     <= cpllpd;
939
            qpllpd     <= qpllpd;
940
            cpllreset  <= cpllreset;
941
            qpllreset  <= qpllreset;
942
            txpmareset <= txpmareset;
943
            rxpmareset <= rxpmareset;
944
            sysclksel  <= sysclksel;
945
            pclk_sel   <= pclk_sel;
946
            gen3       <= gen3;
947
            rate_out   <= rate_out;
948
            drp_start       <= 1'd0;
949
            drp_x16x20_mode <= 1'd0;
950
            drp_x16         <= 1'd0;
951
            end
952
 
953
        //---------- Reset Override Done -------------------
954
        FSM_RESETOVRD_DONE :
955
 
956
            begin
957
            fsm        <= (resetovrd_done_reg2 ? FSM_PLL_PDRESET : FSM_RESETOVRD_DONE);
958
            gen3_exit  <= gen3_exit;
959
            cpllpd     <= cpllpd;
960
            qpllpd     <= qpllpd;
961
            cpllreset  <= cpllreset;
962
            qpllreset  <= qpllreset;
963
            txpmareset <= txpmareset;
964
            rxpmareset <= rxpmareset;
965
            sysclksel  <= sysclksel;
966
            pclk_sel   <= pclk_sel;
967
            gen3       <= gen3;
968
            rate_out   <= rate_out;
969
            drp_start       <= 1'd0;
970
            drp_x16x20_mode <= 1'd0;
971
            drp_x16         <= 1'd0;
972
            end
973
 
974
        //---------- Hold PLL Not Used in Reset ------------
975
        FSM_PLL_PDRESET :
976
 
977
            begin
978
            fsm        <= FSM_PLL_PD;
979
            gen3_exit  <= gen3_exit;
980
            cpllpd     <= cpllpd;
981
            qpllpd     <= qpllpd;
982
            cpllreset  <= (PCIE_PLL_SEL == "QPLL") ? 1'd1 : (rate_in_reg2 == 2'd2);
983
            qpllreset  <= (PCIE_PLL_SEL == "QPLL") ? 1'd0 : (rate_in_reg2 != 2'd2);
984
            txpmareset <= txpmareset;
985
            rxpmareset <= rxpmareset;
986
            sysclksel  <= sysclksel;
987
            pclk_sel   <= pclk_sel;
988
            gen3       <= gen3;
989
            rate_out   <= rate_out;
990
            drp_start       <= 1'd0;
991
            drp_x16x20_mode <= 1'd0;
992
            drp_x16         <= 1'd0;
993
            end
994
 
995
        //---------- Power-Down PLL Not Used ---------------
996
        FSM_PLL_PD :
997
 
998
            begin
999
            fsm        <= (((rate_in_reg2 == 2'd2) || (PCIE_TXBUF_EN == "FALSE")) ? FSM_TXSYNC_START : FSM_DONE);
1000
            gen3_exit  <= gen3_exit;
1001
            cpllpd     <= (PCIE_PLL_SEL == "QPLL") ? 1'd1 : (rate_in_reg2 == 2'd2);
1002
            qpllpd     <= (PCIE_PLL_SEL == "QPLL") ? 1'd0 : (rate_in_reg2 != 2'd2);
1003
            cpllreset  <= cpllreset;
1004
            qpllreset  <= qpllreset;
1005
            txpmareset <= txpmareset;
1006
            rxpmareset <= rxpmareset;
1007
            sysclksel  <= sysclksel;
1008
            pclk_sel   <= pclk_sel;
1009
            gen3       <= gen3;
1010
            rate_out   <= rate_out;
1011
            drp_start       <= 1'd0;
1012
            drp_x16x20_mode <= 1'd0;
1013
            drp_x16         <= 1'd0;
1014
            end
1015
 
1016
        //---------- Start TX Sync -------------------------
1017
        FSM_TXSYNC_START:
1018
 
1019
            begin
1020
            fsm        <= (!txsync_done_reg2 ? FSM_TXSYNC_DONE : FSM_TXSYNC_START);
1021
            gen3_exit  <= gen3_exit;
1022
            cpllpd     <= cpllpd;
1023
            qpllpd     <= qpllpd;
1024
            cpllreset  <= cpllreset;
1025
            qpllreset  <= qpllreset;
1026
            txpmareset <= txpmareset;
1027
            rxpmareset <= rxpmareset;
1028
            sysclksel  <= sysclksel;
1029
            pclk_sel   <= pclk_sel;
1030
            gen3       <= gen3;
1031
            rate_out   <= rate_out;
1032
            drp_start       <= 1'd0;
1033
            drp_x16x20_mode <= 1'd0;
1034
            drp_x16         <= 1'd0;
1035
            end
1036
 
1037
        //---------- Wait for TX Sync Done -----------------
1038
        FSM_TXSYNC_DONE:
1039
 
1040
            begin
1041
            fsm        <= (txsync_done_reg2 ? FSM_DONE : FSM_TXSYNC_DONE);
1042
            gen3_exit  <= gen3_exit;
1043
            cpllpd     <= cpllpd;
1044
            qpllpd     <= qpllpd;
1045
            cpllreset  <= cpllreset;
1046
            qpllreset  <= qpllreset;
1047
            txpmareset <= txpmareset;
1048
            rxpmareset <= rxpmareset;
1049
            sysclksel  <= sysclksel;
1050
            pclk_sel   <= pclk_sel;
1051
            gen3       <= gen3;
1052
            rate_out   <= rate_out;
1053
            drp_start       <= 1'd0;
1054
            drp_x16x20_mode <= 1'd0;
1055
            drp_x16         <= 1'd0;
1056
            end
1057
 
1058
        //---------- Rate Change Done ----------------------
1059
        FSM_DONE :
1060
 
1061
            begin
1062
            fsm        <= (((rate_in_reg2 == 2'd2) && (PCIE_RXBUF_EN == "FALSE") && (PCIE_ASYNC_EN == "TRUE")) ? FSM_RXSYNC_START : FSM_IDLE);
1063
            gen3_exit  <= gen3_exit;
1064
            cpllpd     <= cpllpd;
1065
            qpllpd     <= qpllpd;
1066
            cpllreset  <= cpllreset;
1067
            qpllreset  <= qpllreset;
1068
            txpmareset <= txpmareset;
1069
            rxpmareset <= rxpmareset;
1070
            sysclksel  <= sysclksel;
1071
            pclk_sel   <= pclk_sel;
1072
            gen3       <= gen3;
1073
            rate_out   <= rate_out;
1074
            drp_start       <= 1'd0;
1075
            drp_x16x20_mode <= 1'd0;
1076
            drp_x16         <= 1'd0;
1077
            end
1078
 
1079
        //---------- Start RX Sync -------------------------
1080
        FSM_RXSYNC_START:
1081
 
1082
            begin
1083
            fsm        <= (!rxsync_done_reg2 ? FSM_RXSYNC_DONE : FSM_RXSYNC_START);
1084
            gen3_exit  <= gen3_exit;
1085
            cpllpd     <= cpllpd;
1086
            qpllpd     <= qpllpd;
1087
            cpllreset  <= cpllreset;
1088
            qpllreset  <= qpllreset;
1089
            txpmareset <= txpmareset;
1090
            rxpmareset <= rxpmareset;
1091
            sysclksel  <= sysclksel;
1092
            pclk_sel   <= pclk_sel;
1093
            gen3       <= gen3;
1094
            rate_out   <= rate_out;
1095
            drp_start       <= 1'd0;
1096
            drp_x16x20_mode <= 1'd0;
1097
            drp_x16         <= 1'd0;
1098
            end
1099
 
1100
        //---------- Wait for RX Sync Done -----------------
1101
        FSM_RXSYNC_DONE:
1102
 
1103
            begin
1104
            fsm        <= (rxsync_done_reg2 ? FSM_IDLE : FSM_RXSYNC_DONE);
1105
            gen3_exit  <= gen3_exit;
1106
            cpllpd     <= cpllpd;
1107
            qpllpd     <= qpllpd;
1108
            cpllreset  <= cpllreset;
1109
            qpllreset  <= qpllreset;
1110
            txpmareset <= txpmareset;
1111
            rxpmareset <= rxpmareset;
1112
            sysclksel  <= sysclksel;
1113
            pclk_sel   <= pclk_sel;
1114
            gen3       <= gen3;
1115
            rate_out   <= rate_out;
1116
            drp_start       <= 1'd0;
1117
            drp_x16x20_mode <= 1'd0;
1118
            drp_x16         <= 1'd0;
1119
            end
1120
 
1121
        //---------- Default State -------------------------
1122
        default :
1123
 
1124
            begin
1125
            fsm        <= FSM_IDLE;
1126
            gen3_exit  <= 1'd0;
1127
            cpllpd     <= 1'd0;
1128
            qpllpd     <= 1'd0;
1129
            cpllreset  <= 1'd0;
1130
            qpllreset  <= 1'd0;
1131
            txpmareset <= 1'd0;
1132
            rxpmareset <= 1'd0;
1133
            sysclksel  <= (PCIE_PLL_SEL == "QPLL") ? 2'd1 : 2'd0;
1134
            pclk_sel   <= 1'd0;
1135
            gen3       <= 1'd0;
1136
            rate_out   <= 3'd0;
1137
            drp_start       <= 1'd0;
1138
            drp_x16x20_mode <= 1'd0;
1139
            drp_x16         <= 1'd0;
1140
            end
1141
 
1142
        endcase
1143
 
1144
        end
1145
 
1146
end
1147
 
1148
 
1149
 
1150
//---------- PIPE Rate Output --------------------------------------------------
1151
assign RATE_CPLLPD          = ((PCIE_POWER_SAVING == "FALSE") ? 1'd0 : cpllpd);
1152
assign RATE_QPLLPD          = ((PCIE_POWER_SAVING == "FALSE") ? 1'd0 : qpllpd);
1153
assign RATE_CPLLRESET       = ((PCIE_POWER_SAVING == "FALSE") ? 1'd0 : cpllreset);
1154
assign RATE_QPLLRESET       = ((PCIE_POWER_SAVING == "FALSE") ? 1'd0 : qpllreset);
1155
assign RATE_TXPMARESET      = txpmareset;
1156
assign RATE_RXPMARESET      = rxpmareset;
1157
assign RATE_SYSCLKSEL       = sysclksel;
1158
 
1159
//assign RATE_DRP_START       = (fsm == FSM_DRP_START) || (fsm == FSM_DRP_X16_GEN3_START) || (fsm == FSM_DRP_X16_START) || (fsm == FSM_DRP_X20_START); 
1160
  assign RATE_DRP_START       = drp_start;
1161
 
1162
//assign RATE_DRP_X16X20_MODE = (fsm == FSM_DRP_X16_GEN3_START) || (fsm == FSM_DRP_X16_GEN3_DONE) ||
1163
//                              (fsm == FSM_DRP_X16_START)      || (fsm == FSM_DRP_X16_DONE) || 
1164
//                              (fsm == FSM_DRP_X20_START)      || (fsm == FSM_DRP_X20_DONE);
1165
  assign RATE_DRP_X16X20_MODE = drp_x16x20_mode;
1166
 
1167
//assign RATE_DRP_X16         = (fsm == FSM_DRP_X16_GEN3_START) || (fsm == FSM_DRP_X16_GEN3_DONE) ||
1168
//                              (fsm == FSM_DRP_X16_START)      || (fsm == FSM_DRP_X16_DONE);
1169
  assign RATE_DRP_X16         = drp_x16;
1170
 
1171
assign RATE_PCLK_SEL        = pclk_sel;
1172
assign RATE_GEN3            = gen3;
1173
assign RATE_RATE_OUT        = rate_out;
1174
assign RATE_RESETOVRD_START = (fsm == FSM_RESETOVRD_START);
1175
assign RATE_TXSYNC_START    = (fsm == FSM_TXSYNC_START);
1176
assign RATE_DONE            = (fsm == FSM_DONE);
1177
assign RATE_RXSYNC_START    = (fsm == FSM_RXSYNC_START);
1178
assign RATE_RXSYNC          = ((fsm == FSM_RXSYNC_START) || (fsm == FSM_RXSYNC_DONE));
1179
assign RATE_IDLE            = (fsm == FSM_IDLE);
1180
assign RATE_FSM             = fsm;
1181
 
1182
 
1183
 
1184
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.