OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [ac701_a200t_core/] [src/] [testbench/] [stend_ac701_core.vhd] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 dsmv
-------------------------------------------------------------------------------
2
--
3
-- Title       : stend_ambpex5_core
4
-- Author      : Dmitry Smekhov
5
-- Company     : Instrumental Systems
6
-- E-mail      : dsmv@insys.ru
7
--
8
-- Version     : 1.0
9
--
10
-------------------------------------------------------------------------------
11
--
12
-- Description : 
13
--
14
-------------------------------------------------------------------------------
15
 
16
 
17
library ieee;
18
use ieee.std_logic_1164.all;
19
use ieee.std_logic_textio.all;
20
 
21
library work;
22
 
23
use work.cmd_sim_pkg.all;
24
use work.block_pkg.all;
25
use work.ac701_a200t_core_pkg.all;
26
use work.xilinx_pcie_rport_m2_pkg.all;
27
 
28
use work.test_pkg.all;
29
 
30
use std.textio.all;
31
use std.textio;
32
 
33
entity stend_ac701_core is
34
end stend_ac701_core;
35
 
36
 
37
architecture stend_ac701_core of stend_ac701_core is
38
 
39
 
40
signal  clk250                  : std_logic:='0';
41
signal  clk250p                 : std_logic;
42
signal  clk250n                 : std_logic;
43
 
44
signal  clk100                  : std_logic:='0';
45
signal  clk100p                 : std_logic;
46
signal  clk100n                 : std_logic;
47
 
48
signal  reset                   : std_logic;
49
 
50
signal  txp                             : std_logic_vector( 3 downto 0 ):=(others=>'0');
51
signal  txn                             : std_logic_vector( 3 downto 0 ):=(others=>'1');
52
signal  rxp                             : std_logic_vector( 3 downto 0 ):=(others=>'0');
53
signal  rxn                             : std_logic_vector( 3 downto 0 ):=(others=>'1');
54
 
55
signal  rp_txp                  : std_logic_vector( 0 downto 0 ):=(others=>'0');
56
signal  rp_txn                  : std_logic_vector( 0 downto 0 ):=(others=>'1');
57
signal  rp_rxp                  : std_logic_vector( 0 downto 0 ):=(others=>'0');
58
signal  rp_rxn                  : std_logic_vector( 0 downto 0 ):=(others=>'1');
59
 
60
signal  tp                              : std_logic_vector( 3 downto 1 );
61
signal  led1                    : std_logic;
62
signal  led2                    : std_logic;
63
signal  led3                    : std_logic;
64
signal  led4                    : std_logic;
65
 
66
signal  cmd                             : bh_cmd;       -- команда
67
signal  ret                             : bh_ret;       -- ответ
68
 
69
 
70
begin
71
 
72
 amb: ac701_a200t_core
73
        generic map(
74
                is_simulation   => 2    -- 0 - синтез, 1 - моделирование ADM, 2 - моделирование pcie_core  
75
        )
76
        port map(
77
                ---- PCI-Express ----
78
                pci_exp_txp                     => txp,
79
                pci_exp_txn                     => txn,
80
 
81
                pci_exp_rxp                     => rxp,
82
                pci_exp_rxn                     => rxn,
83
 
84
                sys_clk_p                       => clk100p,   -- тактовая частота 250 MHz от PCI_Express
85
                sys_clk_n                       => clk100n,
86
 
87
                sys_reset_n                     => reset,       -- 0 - сброс                                               
88
 
89
 
90
                ---- Светодиоды ----
91
                gpio_led1                       => led1,
92
                gpio_led2                       => led2,
93
                gpio_led3                       => led3,
94
                gpio_led4                       => led4
95
        );
96
 
97
 
98
rp : xilinx_pcie_rport_m2
99
generic map (
100
      REF_CLK_FREQ => 0,
101
      ALLOW_X8_GEN2 => FALSE,
102
      PL_FAST_TRAIN => TRUE,
103
      LINK_CAP_MAX_LINK_SPEED => X"1",
104
      DEVICE_ID => X"6011",
105
      LINK_CAP_MAX_LINK_WIDTH => X"01",
106
      LINK_CAP_MAX_LINK_WIDTH_int => 1,
107
      LINK_CTRL2_TARGET_LINK_SPEED => X"1",
108
      LTSSM_MAX_LINK_WIDTH => X"01",
109
      DEV_CAP_MAX_PAYLOAD_SUPPORTED => 2,
110
      VC0_TX_LASTPACKET => 29,
111
      VC0_RX_RAM_LIMIT => X"7FF",
112
      VC0_TOTAL_CREDITS_PD => (308),
113
      VC0_TOTAL_CREDITS_CD => (308),
114
      USER_CLK_FREQ => 1
115
)
116
port map (
117
 
118
                sys_clk => clk100,
119
                sys_reset_n => reset,
120
 
121
                pci_exp_txn => rp_txn,
122
                pci_exp_txp => rp_txp,
123
                pci_exp_rxn => rp_rxn,
124
                pci_exp_rxp => rp_rxp,
125
 
126
                cmd                     => cmd, -- команда
127
                ret                     => ret  -- ответ
128
 
129
);
130
 
131
 
132
clk100 <= not clk100 after 5 ns;
133
--clk250 <= not clk250 after 2 ns;
134
--
135
--clk250p <= clk250;
136
--clk250n <= not clk250;
137
 
138
clk100p <= clk100;
139
clk100n <= not clk100;
140
 
141
rxp(0) <= rp_txp(0);
142
rxn(0) <= rp_txn(0);
143
 
144
rp_rxp(0) <= txp(0);
145
rp_rxn(0) <= txn(0);
146
 
147
reset <= '0', '1' after 5002 ns;
148
 
149
pr_main: process
150
 
151
variable        data    : std_logic_vector( 31 downto 0 );
152
variable        str     : LINE;         -- pointer to string
153
begin
154
 
155
        test_init( "src\testbench\log\test.log" );
156
--      test_init( "test.log" );
157
 
158
        wait for 180 us;
159
 
160
 
161
        --test_dsc_incorrect( cmd, ret );          
162
 
163
        --test_read_4kb( cmd, ret );
164
        --test_adm_read_8kb( cmd, ret );
165
        test_adm_read_16kb( cmd, ret );
166
        --test_adm_write_16kb( cmd, ret );
167
        --test_block_main( cmd, ret );
168
 
169
        test_close;
170
    --
171
    -- Print Final Banner
172
    report "Init END OF TEST" severity WARNING;
173
    assert false
174
    report "End of TEST; Ending simulation (not a Failure)"
175
    severity FAILURE;
176
        wait;
177
 
178
end process;
179
 
180
end stend_ac701_core;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.