OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [src/] [testbench/] [log_example/] [console_test_dsc_incorrect.log] - Blame information for rev 53

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 dsmv
asim -ieee_nowarn -O5 -g test_id=0 +access +r +m+test_dsc_incorrect stend_sp605_wishbone stend_sp605_wishbone
2
#  Simulation has been stopped
3
# ELBREAD: Elaboration process.
4 53 dsmv
# ELBREAD: Elaboration time 1.0 [s].
5 40 dsmv
# asim: Stack memory: 32MB
6
# asim: Retval memory: 32MB
7
# KERNEL: Main thread initiated.
8
# KERNEL: Kernel process initialization phase.
9
# KERNEL: Time resolution set to 10fs.
10
# ELAB2: Elaboration final pass...
11
# ELAB2: Create instances ...
12
# ELAB2: Create instances complete.
13
# SLP: Started
14
# SLP: Elaboration phase ...
15 53 dsmv
# SLP: Elaboration phase ... done : 17.1 [s]
16 40 dsmv
# SLP: Generation phase ...
17 53 dsmv
# SLP: Generation phase ... done : 20.6 [s]
18
# SLP: Finished : 37.8 [s]
19
# SLP: 108406 (60.64%) primitives and 64707 (36.20%) other processes in SLP
20
# SLP: 285582 (94.99%) signals in SLP and 15068 (5.01%) interface signals
21
# ELAB2: Elaboration final pass complete - time: 45.6 [s].
22
# KERNEL: SLP loading done - time: 0.4 [s].
23
# KERNEL: SLP simulation initialization done - time: 0.3 [s].
24 40 dsmv
# KERNEL: Kernel process initialization done.
25 53 dsmv
# Allocation: Simulator allocated 132813 kB (elbread=35344 elab2=80835 kernel=16633 sdf=0)
26
#  3:22, 7 марта 2016 г.
27 40 dsmv
#  Simulation has been initialized
28
#  Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
29
run {400 us}
30
# KERNEL: [ 1000 ns ] : Init start
31
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
32
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
33
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
34
# KERNEL:             BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
35
# KERNEL:             BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
36
# KERNEL:             BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
37
# KERNEL:             BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
38
# KERNEL:             BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
39
# KERNEL:             BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
40
# KERNEL:             BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
41
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
42
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
43
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
44
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
45
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
46
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
47
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
48
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
49
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
50
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
51
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
52
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
53
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
54
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
55
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
56
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
57
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
58
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
59
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
60
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
61
# KERNEL: [ 107635.146 ns ] : Reading Config space
62
# KERNEL:   Addr: [0x001]
63
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100000]
64
# KERNEL: [ 107795.146 ns ] : Writing Config space
65
# KERNEL:   Addr: [0x001] -> Data [0x00000007]
66
# KERNEL: [ 107955.146 ns ] : Reading Config space
67
# KERNEL:   Addr: [0x001]
68
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100007]
69
# KERNEL: [ 113083.146 ns ] : Init complete
70
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
71 53 dsmv
# KERNEL: [ 183059.146 ns ] : PROC_PARSE_FRAME on Transmit
72
# KERNEL: [ 183123.146 ns ] : PROC_PARSE_FRAME on Transmit
73
# KERNEL: [ 183187.146 ns ] : PROC_PARSE_FRAME on Transmit
74
# KERNEL: [ 183251.146 ns ] : PROC_PARSE_FRAME on Transmit
75
# KERNEL: [ 183315.146 ns ] : PROC_PARSE_FRAME on Transmit
76
# KERNEL: [ 183379.146 ns ] : PROC_PARSE_FRAME on Transmit
77 40 dsmv
# KERNEL: [ 187987.146 ns ] : PROC_PARSE_FRAME on Receive
78
# KERNEL: [ 188083.146 ns ] : PROC_PARSE_FRAME on Receive
79
# KERNEL: [ 188147.146 ns ] : PROC_PARSE_FRAME on Receive
80
# KERNEL: [ 188163.146 ns ] : PROC_PARSE_FRAME on Transmit
81
# KERNEL: [ 188243.146 ns ] : PROC_PARSE_FRAME on Receive
82
# KERNEL: [ 188339.146 ns ] : PROC_PARSE_FRAME on Transmit
83
# KERNEL: [ 188515.146 ns ] : PROC_PARSE_FRAME on Transmit
84
# KERNEL: [ 188691.146 ns ] : PROC_PARSE_FRAME on Transmit
85
# KERNEL: [ 188867.146 ns ] : PROC_PARSE_FRAME on Transmit
86
# KERNEL: [ 189043.146 ns ] : PROC_PARSE_FRAME on Transmit
87
# KERNEL: [ 189219.146 ns ] : PROC_PARSE_FRAME on Transmit
88
# KERNEL: [ 189395.146 ns ] : PROC_PARSE_FRAME on Transmit
89 53 dsmv
# KERNEL: [ 203427.146 ns ] : PROC_PARSE_FRAME on Transmit
90
# KERNEL: [ 207923.146 ns ] : PROC_PARSE_FRAME on Receive
91
# KERNEL: [ 208035.146 ns ] : PROC_PARSE_FRAME on Transmit
92 40 dsmv
# KERNEL: TEST finished successfully
93
# KERNEL: stopped at time: 400 us
94
endsim
95
#  Simulation has been stopped
96
transcript to src/testbench/log/console_test_read_4kB.log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.