OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [src/] [testbench/] [log_example/] [console_test_read_4kB.log] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 dsmv
asim -ieee_nowarn -O5 -g test_id=1 +access +r +m+test_read_4kB stend_sp605_wishbone stend_sp605_wishbone
2
# ELBREAD: Elaboration process.
3
# ELBREAD: Elaboration time 1.7 [s].
4
# asim: Stack memory: 32MB
5
# asim: Retval memory: 32MB
6
# KERNEL: Main thread initiated.
7
# KERNEL: Kernel process initialization phase.
8
# KERNEL: Time resolution set to 10fs.
9
# ELAB2: Elaboration final pass...
10
# ELAB2: Create instances ...
11
# ELAB2: Create instances complete.
12
# SLP: Started
13
# SLP: Elaboration phase ...
14
# SLP: Elaboration phase ... done : 22.0 [s]
15
# SLP: Generation phase ...
16
# SLP: Generation phase ... done : 28.1 [s]
17
# SLP: Finished : 50.1 [s]
18
# SLP: 108406 (60.59%) primitives and 64669 (36.15%) other processes in SLP
19
# SLP: 285581 (94.99%) signals in SLP and 15068 (5.01%) interface signals
20
# ELAB2: Elaboration final pass complete - time: 60.9 [s].
21
# KERNEL: SLP loading done - time: 0.8 [s].
22
# KERNEL: SLP simulation initialization done - time: 0.4 [s].
23
# KERNEL: Kernel process initialization done.
24
# Allocation: Simulator allocated 222071 kB (elbread=34310 elab2=88685 kernel=99075 sdf=0)
25
#  23:40, 1 августа 2013 г.
26
#  Simulation has been initialized
27
#  Selected Top-Level: stend_sp605_wishbone (stend_sp605_wishbone)
28
run {400 us}
29
# KERNEL: [ 1000 ns ] : Init start
30
# KERNEL: [ 15923.246 ns ] : Transaction Reset is De-asserted
31
# KERNEL: [ 25603.246 ns ] : Transaction Link is Up
32
# KERNEL: [ 25603.246 ns ] : PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN..
33
# KERNEL:             BAR 0 = 0x10000000 RANGE = 0xFFE00000 MEM32 MAPPED
34
# KERNEL:             BAR 1 = 0x20000000 RANGE = 0xFFE00000 MEM32 MAPPED
35
# KERNEL:             BAR 2 = 0x00000000 RANGE = 0x00000000 DISABLED
36
# KERNEL:             BAR 3 = 0x00000000 RANGE = 0x00000000 DISABLED
37
# KERNEL:             BAR 4 = 0x00000000 RANGE = 0x00000000 DISABLED
38
# KERNEL:             BAR 5 = 0x00000000 RANGE = 0x00000000 DISABLED
39
# KERNEL:             BAR 6 = 0x00000000 RANGE = 0x00000000 DISABLED
40
# KERNEL: [ 25603.246 ns ] : Setting Core Configuration Space...
41
# KERNEL: [ 73651.146 ns ] : PROC_PARSE_FRAME on Transmit
42
# KERNEL: [ 75299.146 ns ] : PROC_PARSE_FRAME on Transmit
43
# KERNEL: [ 76595.146 ns ] : PROC_PARSE_FRAME on Receive
44
# KERNEL: [ 76947.146 ns ] : PROC_PARSE_FRAME on Transmit
45
# KERNEL: [ 78227.146 ns ] : PROC_PARSE_FRAME on Receive
46
# KERNEL: [ 78595.146 ns ] : PROC_PARSE_FRAME on Transmit
47
# KERNEL: [ 79891.146 ns ] : PROC_PARSE_FRAME on Receive
48
# KERNEL: [ 80243.146 ns ] : PROC_PARSE_FRAME on Transmit
49
# KERNEL: [ 81523.146 ns ] : PROC_PARSE_FRAME on Receive
50
# KERNEL: [ 81891.146 ns ] : PROC_PARSE_FRAME on Transmit
51
# KERNEL: [ 83187.146 ns ] : PROC_PARSE_FRAME on Receive
52
# KERNEL: [ 83539.146 ns ] : PROC_PARSE_FRAME on Transmit
53
# KERNEL: [ 84819.146 ns ] : PROC_PARSE_FRAME on Receive
54
# KERNEL: [ 85187.146 ns ] : PROC_PARSE_FRAME on Transmit
55
# KERNEL: [ 86483.146 ns ] : PROC_PARSE_FRAME on Receive
56
# KERNEL: [ 86835.146 ns ] : PROC_PARSE_FRAME on Transmit
57
# KERNEL: [ 88115.146 ns ] : PROC_PARSE_FRAME on Receive
58
# KERNEL: [ 89779.146 ns ] : PROC_PARSE_FRAME on Receive
59
# KERNEL: [ 107635.146 ns ] : BUS Master Enable
60
# KERNEL: [ 107635.146 ns ] : Reading Config space
61
# KERNEL:   Addr: [0x001]
62
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100000]
63
# KERNEL: [ 107795.146 ns ] : Writing Config space
64
# KERNEL:   Addr: [0x001] -> Data [0x00000007]
65
# KERNEL: [ 107955.146 ns ] : Reading Config space
66
# KERNEL:   Addr: [0x001]
67
# KERNEL:   Cfg Addr [0x001] -> Data [0x00100007]
68
# KERNEL: [ 113083.146 ns ] : Init complete
69
# KERNEL: [ 180035.146 ns ] : PROC_PARSE_FRAME on Transmit
70
# KERNEL: [ 183091.146 ns ] : PROC_PARSE_FRAME on Transmit
71
# KERNEL: [ 183155.146 ns ] : PROC_PARSE_FRAME on Transmit
72
# KERNEL: [ 187507.146 ns ] : PROC_PARSE_FRAME on Receive
73
# KERNEL: [ 187619.146 ns ] : PROC_PARSE_FRAME on Transmit
74
# KERNEL: [ 187683.146 ns ] : PROC_PARSE_FRAME on Transmit
75
# KERNEL: [ 187747.146 ns ] : PROC_PARSE_FRAME on Transmit
76
# KERNEL: [ 187811.146 ns ] : PROC_PARSE_FRAME on Transmit
77
# KERNEL: [ 187875.146 ns ] : PROC_PARSE_FRAME on Transmit
78
# KERNEL: [ 187939.146 ns ] : PROC_PARSE_FRAME on Transmit
79
# KERNEL: [ 188003.146 ns ] : PROC_PARSE_FRAME on Transmit
80
# KERNEL: [ 189059.146 ns ] : PROC_PARSE_FRAME on Transmit
81
# KERNEL: [ 195795.146 ns ] : PROC_PARSE_FRAME on Receive
82
# KERNEL: [ 195955.146 ns ] : PROC_PARSE_FRAME on Receive
83
# KERNEL: [ 195971.146 ns ] : PROC_PARSE_FRAME on Transmit
84
# KERNEL: [ 196019.146 ns ] : PROC_PARSE_FRAME on Receive
85
# KERNEL: [ 196115.146 ns ] : PROC_PARSE_FRAME on Receive
86
# KERNEL: [ 196147.146 ns ] : PROC_PARSE_FRAME on Transmit
87
# KERNEL: [ 196323.146 ns ] : PROC_PARSE_FRAME on Transmit
88
# KERNEL: [ 196499.146 ns ] : PROC_PARSE_FRAME on Transmit
89
# KERNEL: [ 196675.146 ns ] : PROC_PARSE_FRAME on Transmit
90
# KERNEL: [ 196851.146 ns ] : PROC_PARSE_FRAME on Transmit
91
# KERNEL: [ 197027.146 ns ] : PROC_PARSE_FRAME on Transmit
92
# KERNEL: [ 197203.146 ns ] : PROC_PARSE_FRAME on Transmit
93
# KERNEL: [ 209107.146 ns ] : PROC_PARSE_FRAME on Transmit
94
# KERNEL: [ 216787.146 ns ] : PROC_PARSE_FRAME on Receive
95
# KERNEL: [ 216819.146 ns ] : PROC_PARSE_FRAME on Receive
96
# KERNEL: [ 216931.146 ns ] : PROC_PARSE_FRAME on Transmit
97
# KERNEL: [ 217491.146 ns ] : PROC_PARSE_FRAME on Receive
98
# KERNEL: [ 218067.146 ns ] : PROC_PARSE_FRAME on Receive
99
# KERNEL: [ 218675.146 ns ] : PROC_PARSE_FRAME on Receive
100
# KERNEL: [ 219251.146 ns ] : PROC_PARSE_FRAME on Receive
101
# KERNEL: [ 219859.146 ns ] : PROC_PARSE_FRAME on Receive
102
# KERNEL: [ 220531.146 ns ] : PROC_PARSE_FRAME on Receive
103
# KERNEL: [ 221139.146 ns ] : PROC_PARSE_FRAME on Receive
104
# KERNEL: [ 221171.146 ns ] : PROC_PARSE_FRAME on Receive
105
# KERNEL: [ 221811.146 ns ] : PROC_PARSE_FRAME on Receive
106
# KERNEL: [ 222275.146 ns ] : PROC_PARSE_FRAME on Transmit
107
# KERNEL: [ 222419.146 ns ] : PROC_PARSE_FRAME on Receive
108
# KERNEL: [ 222995.146 ns ] : PROC_PARSE_FRAME on Receive
109
# KERNEL: [ 223603.146 ns ] : PROC_PARSE_FRAME on Receive
110
# KERNEL: [ 224179.146 ns ] : PROC_PARSE_FRAME on Receive
111
# KERNEL: [ 224787.146 ns ] : PROC_PARSE_FRAME on Receive
112
# KERNEL: [ 225363.146 ns ] : PROC_PARSE_FRAME on Receive
113
# KERNEL: [ 226035.146 ns ] : PROC_PARSE_FRAME on Receive
114
# KERNEL: [ 226675.146 ns ] : PROC_PARSE_FRAME on Receive
115
# KERNEL: [ 226707.146 ns ] : PROC_PARSE_FRAME on Receive
116
# KERNEL: [ 227411.146 ns ] : PROC_PARSE_FRAME on Receive
117
# KERNEL: [ 227811.146 ns ] : PROC_PARSE_FRAME on Transmit
118
# KERNEL: [ 228019.146 ns ] : PROC_PARSE_FRAME on Receive
119
# KERNEL: [ 228627.146 ns ] : PROC_PARSE_FRAME on Receive
120
# KERNEL: [ 229203.146 ns ] : PROC_PARSE_FRAME on Receive
121
# KERNEL: [ 229811.146 ns ] : PROC_PARSE_FRAME on Receive
122
# KERNEL: [ 230387.146 ns ] : PROC_PARSE_FRAME on Receive
123
# KERNEL: [ 230995.146 ns ] : PROC_PARSE_FRAME on Receive
124
# KERNEL: [ 231635.146 ns ] : PROC_PARSE_FRAME on Receive
125
# KERNEL: [ 232243.146 ns ] : PROC_PARSE_FRAME on Receive
126
# KERNEL: [ 232275.146 ns ] : PROC_PARSE_FRAME on Receive
127
# KERNEL: [ 232947.146 ns ] : PROC_PARSE_FRAME on Receive
128
# KERNEL: [ 233379.146 ns ] : PROC_PARSE_FRAME on Transmit
129
# KERNEL: [ 233555.146 ns ] : PROC_PARSE_FRAME on Receive
130
# KERNEL: [ 234131.146 ns ] : PROC_PARSE_FRAME on Receive
131
# KERNEL: [ 234739.146 ns ] : PROC_PARSE_FRAME on Receive
132
# KERNEL: [ 235315.146 ns ] : PROC_PARSE_FRAME on Receive
133
# KERNEL: [ 235923.146 ns ] : PROC_PARSE_FRAME on Receive
134
# KERNEL: [ 236403.146 ns ] : PROC_PARSE_FRAME on Receive
135
# KERNEL: [ 236515.146 ns ] : PROC_PARSE_FRAME on Transmit
136
# KERNEL: [ 244627.146 ns ] : PROC_PARSE_FRAME on Receive
137
# KERNEL: [ 245219.146 ns ] : PROC_PARSE_FRAME on Transmit
138
# KERNEL: [ 252883.146 ns ] : PROC_PARSE_FRAME on Receive
139
# KERNEL: [ 253475.146 ns ] : PROC_PARSE_FRAME on Transmit
140
# KERNEL: [ 261107.146 ns ] : PROC_PARSE_FRAME on Receive
141
# KERNEL: [ 261699.146 ns ] : PROC_PARSE_FRAME on Transmit
142
# KERNEL: [ 269363.146 ns ] : PROC_PARSE_FRAME on Receive
143
# KERNEL: [ 269955.146 ns ] : PROC_PARSE_FRAME on Transmit
144
# KERNEL: TEST finished successfully
145
# KERNEL: stopped at time: 400 us
146
endsim
147
#  Simulation has been stopped
148
transcript to src/testbench/log/console_test_adm_read_8kb.log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.