OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [src/] [top/] [sp605_lx45t_wishbone.ucf] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dsmv
##-----------------------------------------------------------------------------
2
##
3
## (c) Copyright 2007, 2008, 2009 Xilinx, Inc. All rights reserved.
4
##
5
## This file contains confidential and proprietary information
6
## of Xilinx, Inc. and is protected under U.S. and
7
## international copyright and other intellectual property
8
## laws.
9
##
10
## DISCLAIMER
11
## This disclaimer is not a license and does not grant any
12
## rights to the materials distributed herewith. Except as
13
## otherwise provided in a valid license issued to you by
14
## Xilinx, and to the maximum extent permitted by applicable
15
## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
## (2) Xilinx shall not be liable (whether in contract or tort,
21
## including negligence, or under any other theory of
22
## liability) for any loss or damage of any kind or nature
23
## related to, arising under or in connection with these
24
## materials, including for any direct, or any indirect,
25
## special, incidental, or consequential loss or damage
26
## (including loss of data, profits, goodwill, or any type of
27
## loss or damage suffered as a result of any action brought
28
## by a third party) even if such damage or loss was
29
## reasonably foreseeable or Xilinx had been advised of the
30
## possibility of the same.
31
##
32
## CRITICAL APPLICATIONS
33
## Xilinx products are not designed or intended to be fail-
34
## safe, or for use in any application requiring fail-safe
35
## performance, such as life-support or safety devices or
36
## systems, Class III medical devices, nuclear facilities,
37
## applications related to the deployment of airbags, or any
38
## other applications that could lead to death, personal
39
## injury, or severe property or environmental damage
40
## (individually and collectively, "Critical
41
## Applications"). Customer assumes the sole risk and
42
## liability of any use of Xilinx products in Critical
43
## Applications, subject only to applicable laws and
44
## regulations governing limitations on product liability.
45
##
46
## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
## PART OF THIS FILE AT ALL TIMES.
48
##
49
##-----------------------------------------------------------------------------
50
## Project    : Spartan-6 Integrated Block for PCI Express
51
## File       : xilinx_pcie_1_lane_ep_xc6slx150t-fgg676-2.ucf
52
## Description: Example User Constraints File
53
##
54
## Use this file only with the device listed below.  Any other
55
## combination is invalid.  Do not modify this file except in
56
## regions designated for "User" constraints.
57
##-----------------------------------------------------------------------------
58
 
59
###############################################################################
60
# Define Device, Package And Speed Grade
61
###############################################################################
62
 
63
#CONFIG PART = xc6slx150t-fgg676-2;
64
 
65
###############################################################################
66
# User Time Names / User Time Groups / Time Specs
67
###############################################################################
68
 
69
###############################################################################
70
# User Physical Constraints
71
###############################################################################
72
 
73
###############################################################################
74
# Pinout and Related I/O Constraints
75
###############################################################################
76
 
77
#
78
# SYS reset (input) signal.  The sys_reset_n signal should be
79
# obtained from the PCI Express interface if possible.  For
80
# slot based form factors, a system reset signal is usually
81
# present on the connector.  For cable based form factors, a
82
# system reset signal may not be available.  In this case, the
83
# system reset signal must be generated locally by some form of
84
# supervisory circuit.  You may change the IOSTANDARD and LOC
85
# to suit your requirements and VCCO voltage banking rules.
86
#
87
 
88
NET sys_reset_n      LOC = J7  | IOSTANDARD = LVCMOS25 | PULLUP | NODELAY;
89
 
90
# SYS clock 100 or 125 MHz (input) signal. The sys_clk_p and sys_clk_n
91
# signals are the PCI Express reference clock. Spartan-6 GTP
92
# Transceiver architecture requires the use of dedicated clock
93
# resources (FPGA input pins) associated with each GTP Transceiver Tile.
94
# To use these pins an IBUFDS primitive (refclk_ibuf) is
95
# instantiated in the example design.
96
# Please refer to the Spartan-6 GTP Transceiver User Guide
97
# for guidelines regarding clock resource selection.
98
#
99
 
100
#NET  sys_clk_n       LOC = A10;
101
#NET  sys_clk_p       LOC = B10;
102
 
103
#
104
# Transceiver instance placement.  This constraint selects the
105
# transceiver to be used, which also dictates the pinout for the
106
# transmit and receive differential pairs.  Please refer to the
107
# Spartan-6 GTP Transceiver User Guide for more
108
# information.
109
#
110
# PCIe Lane 0
111
INST cl_s6pcie_m2_i/GT_i/tile0_gtpa1_dual_wrapper_i/gtpa1_dual_i LOC = GTPA1_DUAL_X0Y1;
112
NET   pci_exp_txp<0>  LOC = B6;
113
NET   pci_exp_txn<0>  LOC = A6;
114
NET   pci_exp_rxp<0>  LOC = D7;
115
NET   pci_exp_rxn<0>  LOC = C7;
116
 
117
 
118
NET "gpio_led0" LOC = D17;
119
NET "gpio_led1" LOC = AB4;
120
NET "gpio_led2" LOC = D21;
121
NET "gpio_led3" LOC = W15;
122
 
123
###############################################################################
124
# Physical Constraints
125
###############################################################################
126
 
127
###############################################################################
128
# Timing Constraints
129
###############################################################################
130
 
131
#
132
# Ignore timing on asynchronous signals.
133
#
134
NET sys_reset_n TIG;
135
 
136
#
137
# Timing requirements and related constraints.
138
#
139
#NET sys_clk_c PERIOD = 8ns;
140
 
141
NET sys_clk_p TNM_NET = GT_REFCLK_OUT;
142
 
143
NET */ep/gt_refclk_out(0) TNM_NET = GT_REFCLK_OUT;
144
TIMESPEC TS_GT_REFCLK_OUT = PERIOD GT_REFCLK_OUT 8ns HIGH 50 % ;
145
 
146
 
147 40 dsmv
NET "WB_SOPC/s_wb_clk" TNM_NET = WB_CLK;
148
TIMESPEC TS_WB_CLK = PERIOD WB_CLK 30 ns;
149 2 dsmv
 
150
 
151
###############################################################################
152
# End
153
###############################################################################
154
 
155 38 dsmv
 INST "WB_SOPC/PCIE_CORE64_WB/CORE/ep" AREA_GROUP = "pblock_ep";
156
AREA_GROUP "pblock_ep" RANGE=SLICE_X4Y96:SLICE_X7Y125;
157
AREA_GROUP "pblock_ep" RANGE=RAMB16_X0Y48:RAMB16_X0Y62;
158
AREA_GROUP "pblock_ep" RANGE=RAMB8_X0Y48:RAMB8_X0Y63;
159
INST "WB_SOPC/PCIE_CORE64_WB/CORE/rx" AREA_GROUP = "pblock_rx";
160
AREA_GROUP "pblock_rx" RANGE=SLICE_X8Y111:SLICE_X17Y88;
161
INST "WB_SOPC/PCIE_CORE64_WB/CORE/tx" AREA_GROUP = "pblock_tx";
162
AREA_GROUP "pblock_tx" RANGE=SLICE_X20Y88:SLICE_X29Y111;
163
INST "WB_SOPC/PCIE_CORE64_WB/CORE/reg" AREA_GROUP = "pblock_reg";
164
AREA_GROUP "pblock_reg" RANGE=SLICE_X18Y85:SLICE_X19Y95, SLICE_X12Y85:SLICE_X17Y87;
165
INST "WB_SOPC/PCIE_CORE64_WB/CORE/int" AREA_GROUP = "pblock_int";
166
AREA_GROUP "pblock_int" RANGE=SLICE_X0Y122:SLICE_X3Y125;
167
INST "WB_SOPC/PCIE_CORE64_WB/CORE/disp" AREA_GROUP = "pblock_disp";
168
AREA_GROUP "pblock_disp" RANGE=SLICE_X20Y87:SLICE_X29Y83;
169
INST "WB_SOPC/PCIE_CORE64_WB/CORE/fifo" AREA_GROUP = "pblock_fifo";
170
AREA_GROUP "pblock_fifo" RANGE=SLICE_X18Y72:SLICE_X29Y82, SLICE_X8Y72:SLICE_X17Y84, SLICE_X2Y72:SLICE_X7Y95;
171
AREA_GROUP "pblock_fifo" RANGE=RAMB16_X0Y36:RAMB16_X1Y46;
172
AREA_GROUP "pblock_fifo" RANGE=RAMB8_X0Y36:RAMB8_X1Y47;
173
INST "WB_SOPC/PCIE_CORE64_WB/PE_MAIN" AREA_GROUP = "pblock_PE_MAIN";
174
AREA_GROUP "pblock_PE_MAIN" RANGE=SLICE_X24Y119:SLICE_X29Y113;
175
INST "WB_SOPC/PCIE_CORE64_WB/PW_WB" AREA_GROUP = "pblock_PW_WB";
176
AREA_GROUP "pblock_PW_WB" RANGE=SLICE_X4Y68:SLICE_X21Y71;
177
INST "WB_SOPC/WB_CROSS" AREA_GROUP = "pblock_WB_CROSS";
178
AREA_GROUP "pblock_WB_CROSS" RANGE=SLICE_X4Y56:SLICE_X21Y67;
179
INST "WB_SOPC/TEST_CHECK" AREA_GROUP = "pblock_TEST_CHECK";
180
AREA_GROUP "pblock_TEST_CHECK" RANGE=SLICE_X4Y24:SLICE_X17Y55;
181
AREA_GROUP "pblock_TEST_CHECK" RANGE=RAMB16_X0Y12:RAMB16_X0Y26;
182
AREA_GROUP "pblock_TEST_CHECK" RANGE=RAMB8_X0Y12:RAMB8_X0Y27;
183
INST "WB_SOPC/TEST_GEN" AREA_GROUP = "pblock_TEST_GEN";
184
AREA_GROUP "pblock_TEST_GEN" RANGE=SLICE_X18Y24:SLICE_X27Y55;
185
AREA_GROUP "pblock_TEST_GEN" RANGE=RAMB16_X1Y12:RAMB16_X1Y26;
186
AREA_GROUP "pblock_TEST_GEN" RANGE=RAMB8_X1Y12:RAMB8_X1Y27;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.