OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUCF/] [ABB3_pcie_1_lane_Emu_FIFO_elink.ucf] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
##-----------------------------------------------------------------------------
2
##
3
## (c) Copyright 2009 Xilinx, Inc. All rights reserved.
4
##
5
## This file contains confidential and proprietary information of Xilinx, Inc.
6
## and is protected under U.S. and international copyright and other
7
## intellectual property laws.
8
##
9
## DISCLAIMER
10
##
11
## This disclaimer is not a license and does not grant any rights to the
12
## materials distributed herewith. Except as otherwise provided in a valid
13
## license issued to you by Xilinx, and to the maximum extent permitted by
14
## applicable law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL
15
## FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS,
16
## IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF
17
## MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;
18
## and (2) Xilinx shall not be liable (whether in contract or tort, including
19
## negligence, or under any other theory of liability) for any loss or damage
20
## of any kind or nature related to, arising under or in connection with these
21
## materials, including for any direct, or any indirect, special, incidental,
22
## or consequential loss or damage (including loss of data, profits, goodwill,
23
## or any type of loss or damage suffered as a result of any action brought by
24
## a third party) even if such damage or loss was reasonably foreseeable or
25
## Xilinx had been advised of the possibility of the same.
26
##
27
## CRITICAL APPLICATIONS
28
##
29
## Xilinx products are not designed or intended to be fail-safe, or for use in
30
## any application requiring fail-safe performance, such as life-support or
31
## safety devices or systems, Class III medical devices, nuclear facilities,
32
## applications related to the deployment of airbags, or any other
33
## applications that could lead to death, personal injury, or severe property
34
## or environmental damage (individually and collectively, "Critical
35
## Applications"). Customer assumes the sole risk and liability of any use of
36
## Xilinx products in Critical Applications, subject only to applicable laws
37
## and regulations governing limitations on product liability.
38
##
39
## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE
40
## AT ALL TIMES.
41
##
42
##-----------------------------------------------------------------------------
43
## Project    : Virtex-6 Integrated Block for PCI Express
44
## File       : xilinx_pcie_2_0_ep_v6_04_lane_gen1_xc6vlx240t-ff1156-1_ML605.ucf
45
#
46
###############################################################################
47
# Define Device, Package And Speed Grade
48
###############################################################################
49
 
50
CONFIG PART = xc6vlx240t-ff1156-1;
51
 
52
 
53
###############################################################################
54
# Pinout and Related I/O Constraints
55
###############################################################################
56
 
57
#
58
# SYS reset (input) signal.  The sys_reset_n signal should be
59
# obtained from the PCI Express interface if possible.  For
60
# slot based form factors, a system reset signal is usually
61
# present on the connector.  For cable based form factors, a
62
# system reset signal may not be available.  In this case, the
63
# system reset signal must be generated locally by some form of
64
# supervisory circuit.  You may change the IOSTANDARD and LOC
65
# to suit your requirements and VCCO voltage banking rules.
66
#
67
 
68
NET "sys_reset_n" TIG;
69
NET "sys_reset_n" LOC = AE13 | IOSTANDARD = LVCMOS25 | PULLUP | NODELAY ;
70
 
71
#
72
#
73
# SYS clock 100 MHz (input) signal. The sys_clk_p and sys_clk_n
74
# signals are the PCI Express reference clock. Virtex-6 GT
75
# Transceiver architecture requires the use of a dedicated clock
76
# resources (FPGA input pins) associated with each GT Transceiver.
77
# To use these pins an IBUFDS primitive (refclk_ibuf) is
78
# instantiated in user's design.
79
# Please refer to the Virtex-6 GT Transceiver User Guide
80
# (UG) for guidelines regarding clock resource selection.
81
#
82
 
83
#NET "sys_clk_n" LOC = P6;
84
#NET "sys_clk_p" LOC = P5;
85
INST "refclk_ibuf" LOC = IBUFDS_GTXE1_X0Y6;
86
 
87
#
88
# Transceiver instance placement.  This constraint selects the
89
# transceivers to be used, which also dictates the pinout for the
90
# transmit and receive differential pairs.  Please refer to the
91
# Virtex-6 GT Transceiver User Guide (UG) for more information.
92
#
93
 
94
 
95
INST "make4Lanes.pcieCore/pcie_2_0_i/pcie_gt_i/gtx_v6_i/GTXD[0].GTX" LOC = GTXE1_X0Y15;
96
 
97
## PCIe Lane 0
98
#INST "make4Lanes.pcieCore/pcie_2_0_i/pcie_gt_i/gtx_v6_i/GTXD[0].GTX" LOC = GTXE1_X0Y15;
99
## PCIe Lane 1
100
#INST "make4Lanes.pcieCore/pcie_2_0_i/pcie_gt_i/gtx_v6_i/GTXD[1].GTX" LOC = GTXE1_X0Y14;
101
## PCIe Lane 2
102
#INST "make4Lanes.pcieCore/pcie_2_0_i/pcie_gt_i/gtx_v6_i/GTXD[2].GTX" LOC = GTXE1_X0Y13;
103
## PCIe Lane 3
104
#INST "make4Lanes.pcieCore/pcie_2_0_i/pcie_gt_i/gtx_v6_i/GTXD[3].GTX" LOC = GTXE1_X0Y12;
105
 
106
#
107
# PCI Express Block placement. This constraint selects the PCI Express
108
# Block to be used.
109
#
110
 
111
INST "make4Lanes.pcieCore/pcie_2_0_i/pcie_block_i" LOC = PCIE_X0Y1;
112
 
113
# SIMONE aggiunti da v1.3 to v1.6
114
INST "make4Lanes.pcieCore/pcie_clocking_i/mmcm_adv_i" LOC = MMCM_ADV_X0Y7;
115
# SIMONE aggiunti da v1.3 to v1.6
116
 
117
 
118
 
119
###############################################################################
120
# Timing Constraints
121
###############################################################################
122
 
123
#
124
# Timing requirements and related constraints.
125
#
126
 
127
NET "sys_clk_c" TNM_NET = "SYSCLK" ;
128
NET "make4Lanes.pcieCore/pcie_clocking_i/clk_125" TNM_NET = "CLK_125" ;
129
 
130
TIMESPEC "TS_SYSCLK"  = PERIOD "SYSCLK" 100 MHz HIGH 50 % PRIORITY 100 ;
131
TIMESPEC "TS_CLK_125"  = PERIOD "CLK_125" TS_SYSCLK*1.25 HIGH 50 % PRIORITY 1 ;
132
 
133
 
134
PIN "make4Lanes.pcieCore/trn_reset_n_int_i.CLR" TIG ;
135
PIN "make4Lanes.pcieCore/trn_reset_n_i.CLR" TIG ;
136
PIN "make4Lanes.pcieCore/pcie_clocking_i/mmcm_adv_i.RST" TIG ;
137
 
138
 
139
#For PCIe gen2 x1 !!!
140
NET "make4Lanes.pcieCore/pcie_clocking_i/clk_250" TNM_NET = "CLK_250" ;
141
TIMESPEC "TS_CLK_250" = PERIOD "CLK_250" TS_SYSCLK*2.5 HIGH 50 % PRIORITY 1;
142
NET "make4Lanes.pcieCore/pcie_clocking_i/sel_lnk_rate_d" TIG ;
143
 
144
 
145
 
146
###############################################################################
147
# Physical Constraints
148
###############################################################################
149
 
150
INST "make4Lanes.pcieCore/*" AREA_GROUP = "AG_core" ;
151
AREA_GROUP "AG_core" RANGE = SLICE_X136Y147:SLICE_X155Y120 ;
152
 
153
###############################################################################
154
# End
155
###############################################################################
156
 
157
 
158
 
159
 
160
#### Module LEDs_8Bit constraints
161
 
162
Net LEDs_IO_pin<0> LOC = AC22;
163
Net LEDs_IO_pin<1> LOC = AC24;
164
Net LEDs_IO_pin<2> LOC = AE22;
165
Net LEDs_IO_pin<3> LOC = AE23;
166
 
167
Net LEDs_IO_pin<4> LOC = AB23;
168
Net LEDs_IO_pin<5> LOC = AG23;
169
Net LEDs_IO_pin<6> LOC = AE24;
170
Net LEDs_IO_pin<7> LOC = AD24;
171
Net LEDs_IO_pin<*> IOSTANDARD=LVCMOS25;
172
 
173
 
174
NET "userclk_66MHz" PERIOD = 66.6 MHz HIGH 50%;
175
NET "userclk_66MHz" LOC = "U23";
176
 
177
NET "userclk_200MHz_n" LOC = "H9";
178
NET "userclk_200MHz_p" LOC = "J9";
179
NET "userclk_200MHz_p" PERIOD = 200 MHz HIGH 50%;
180
 
181
 
182
 
183
#### Module DIP_Switches constraints
184
#Net dummy_pin_in<0> LOC=D22;
185
#Net dummy_pin_in<1> LOC=C22;
186
#Net dummy_pin_in<2> LOC=L21;
187
##Net SWITCH_pin<3> LOC=L20;
188
##Net SWITCH_pin<4> LOC=C18;
189
##Net SWITCH_pin<5> LOC=B18;
190
##Net SWITCH_pin<6> LOC=K22;
191
##Net SWITCH_pin<7> LOC=K21;
192
#Net dummy_pin_in<*> IOSTANDARD = SSTL15;
193
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.