OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/] [sysgen/] [synopsis] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
{
2
  'attributes' => {
3
    'HDLCodeGenStatus' => 0,
4
    'HDL_PATH' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
5
    'Impl_file' => 'ISE Defaults',
6
    'Impl_file_sgadvanced' => '',
7
    'Synth_file' => 'XST Defaults',
8
    'Synth_file_sgadvanced' => '',
9
    'TEMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
10
    'TMP' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
11
    'Temp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
12
    'Tmp' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
13
    'base_system_period_hardware' => 5,
14
    'base_system_period_simulink' => '8e-009',
15
    'block_icon_display' => 'Default',
16
    'block_type' => 'sysgen',
17
    'block_version' => '',
18
    'ce_clr' => 0,
19
    'clkWrapper' => 'inout_logic_cw',
20
    'clkWrapperFile' => 'inout_logic_cw.vhd',
21
    'clock_loc' => '',
22
    'clock_wrapper' => 'Clock Enables',
23
    'clock_wrapper_sgadvanced' => '',
24
    'compilation' => 'NGC Netlist',
25
    'compilation_lut' => {
26
      'keys' => [
27
        'HDL Netlist',
28
        'Bitstream',
29
        'NGC Netlist',
30
      ],
31
      'values' => [
32
        'target1',
33
        'target2',
34
        'target3',
35
      ],
36
    },
37
    'compilation_target' => 'NGC Netlist',
38
    'core_generation' => 1,
39
    'core_generation_sgadvanced' => '',
40
    'core_is_deployed' => 0,
41
    'coregen_core_generation_tmpdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root/cg_wk/c46e83d2645affbd5',
42
    'coregen_part_family' => 'virtex6',
43
    'createTestbench' => 0,
44
    'create_interface_document' => 'off',
45
    'dbl_ovrd' => -1,
46
    'dbl_ovrd_sgadvanced' => '',
47
    'dcm_info' => {
48
    },
49
    'dcm_input_clock_period' => 5,
50
    'deprecated_control' => 'off',
51
    'deprecated_control_sgadvanced' => '',
52
    'design' => 'inout_logic',
53
    'designFile' => 'inout_logic.vhd',
54
    'design_full_path' => 'C:\\Temp\\Xilinx PCI Express\\ML605_ISE13.3\\MySysGen\\PCIe_UserLogic_00.mdl',
55
    'device' => 'xc6vlx240t-1ff1156',
56
    'device_speed' => -1,
57
    'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
58
    'dsp_cache_root_path' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
59
    'entityNamingInstrs' => {
60
      'nameMap' => undef,
61
      'namesAlreadyUsed' => {
62
        'default_clock_driver' => 1,
63
        'inout_logic_cw' => 1,
64
      },
65
    },
66
    'eval_field' => 0,
67
    'fileAttributes' => {
68
      'nonleaf_results.vhd' => {
69
        'producer' => 'nonleafNetlister',
70
      },
71
    },
72
    'files' => [
73
      'xlpersistentdff.ngc',
74
      'synopsis',
75
      'inout_logic.vhd',
76
      'xlpersistentdff.ngc',
77
      'inout_logic_cw.vhd',
78
      'inout_logic_cw.ucf',
79
      'inout_logic_cw.xcf',
80
      'inout_logic_cw.sdc',
81
      'xst_inout_logic.prj',
82
      'xst_inout_logic.scr',
83
      'vcom.do',
84
      'isim_inout_logic.prj',
85
      'globals',
86
      'hdlFiles',
87
    ],
88
    'fxdptinstalled' => 1,
89
    'generateUsing71FrontEnd' => 1,
90
    'generating_island_subsystem_handle' => 2084.00048828125,
91
    'generating_subsystem_handle' => 2084.00048828125,
92
    'generation_directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
93
    'has_advanced_control' => 0,
94
    'hdlDir' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen/hdl',
95
    'hdlKind' => 'vhdl',
96
    'hdl_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen',
97
    'impl_file' => 'ISE Defaults*',
98
    'incr_netlist' => 'off',
99
    'incr_netlist_sgadvanced' => '',
100
    'infoedit' => ' System Generator',
101
    'isCombinatorial' => 1,
102
    'isdeployed' => 0,
103
    'ise_version' => '13.3i',
104
    'master_sysgen_token_handle' => 2085.00048828125,
105
    'matlab' => 'C:/Programmi/MATLAB/R2010b',
106
    'matlab_fixedpoint' => 1,
107
    'mdlHandle' => 2083.00048828125,
108
    'mdlPath' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
109
    'modelDiagnostics' => [
110
      {
111
        'count' => 351,
112
        'isMask' => 0,
113
        'type' => 'PCIe_UserLogic_00 Total blocks',
114
      },
115
      {
116
        'count' => 4,
117
        'isMask' => 0,
118
        'type' => 'DiscretePulseGenerator',
119
      },
120
      {
121
        'count' => 339,
122
        'isMask' => 0,
123
        'type' => 'S-Function',
124
      },
125
      {
126
        'count' => 4,
127
        'isMask' => 0,
128
        'type' => 'SubSystem',
129
      },
130
      {
131
        'count' => 4,
132
        'isMask' => 0,
133
        'type' => 'Terminator',
134
      },
135
      {
136
        'count' => 1,
137
        'isMask' => 1,
138
        'type' => 'Xilinx ChipScope Block',
139
      },
140
      {
141
        'count' => 23,
142
        'isMask' => 1,
143
        'type' => 'Xilinx Constant Block Block',
144
      },
145
      {
146
        'count' => 1,
147
        'isMask' => 1,
148
        'type' => 'Xilinx Counter Block',
149
      },
150
      {
151
        'count' => 44,
152
        'isMask' => 1,
153
        'type' => 'Xilinx Gateway In Block',
154
      },
155
      {
156
        'count' => 39,
157
        'isMask' => 1,
158
        'type' => 'Xilinx Gateway Out Block',
159
      },
160
      {
161
        'count' => 2,
162
        'isMask' => 1,
163
        'type' => 'Xilinx Inverter Block',
164
      },
165
      {
166
        'count' => 1,
167
        'isMask' => 1,
168
        'type' => 'Xilinx Logical Block Block',
169
      },
170
      {
171
        'count' => 89,
172
        'isMask' => 1,
173
        'type' => 'Xilinx Register Block',
174
      },
175
      {
176
        'count' => 62,
177
        'isMask' => 1,
178
        'type' => 'Xilinx Shared Memory Based From Register Block',
179
      },
180
      {
181
        'count' => 62,
182
        'isMask' => 1,
183
        'type' => 'Xilinx Shared Memory Based To Register Block',
184
      },
185
      {
186
        'count' => 1,
187
        'isMask' => 1,
188
        'type' => 'Xilinx Subsystem Generator Block',
189
      },
190
      {
191
        'count' => 2,
192
        'isMask' => 1,
193
        'type' => 'Xilinx System Generator Block',
194
      },
195
      {
196
        'count' => 14,
197
        'isMask' => 1,
198
        'type' => 'Xilinx Type Converter Block',
199
      },
200
    ],
201
    'model_globals_initialized' => 1,
202
    'model_path' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MySysGen/PCIe_UserLogic_00.mdl',
203
    'myxilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
204
    'netlistingWrapupScript' => 'java:com.xilinx.sysgen.netlister.DefaultWrapupNetlister',
205
    'ngc_config' => {
206
      'include_cf' => 1,
207
      'include_clockwrapper' => 1,
208
    },
209
    'ngc_files' => [
210
      'xlpersistentdff.ngc',
211
    ],
212
    'num_sim_cycles' => 1250000000,
213
    'package' => 'ff1156',
214
    'part' => 'xc6vlx240t',
215
    'partFamily' => 'virtex6',
216
    'port_data_types_enabled' => 1,
217
    'postgeneration_fcn' => 'xlNGCPostGeneration',
218
    'preserve_hierarchy' => 0,
219
    'proj_type' => 'Project Navigator',
220
    'proj_type_sgadvanced' => '',
221
    'run_coregen' => 'off',
222
    'run_coregen_sgadvanced' => '',
223
    'sample_time_colors_enabled' => 1,
224
    'sampletimecolors' => 1,
225
    'sdcFile' => 'inout_logic_cw.sdc',
226
    'settings_fcn' => 'xlngcsettings',
227
    'sg_blockgui_xml' => '',
228
    'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
229
    'sg_list_contents' => '',
230
    'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
231
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
232
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
233
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
234
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
235
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
236
fprintf(\'\',\'COMMENT: end icon graphics\');
237
fprintf(\'\',\'COMMENT: begin icon text\');
238
fprintf(\'\',\'COMMENT: end icon text\');',
239
    'sg_version' => '',
240
    'sggui_pos' => '-1,-1,-1,-1',
241
    'simulation_island_subsystem_handle' => 2084.00048828125,
242
    'simulinkName' => 'parking_lot',
243
    'simulink_accelerator_running' => 0,
244
    'simulink_debugger_running' => 0,
245
    'simulink_period' => '8e-009',
246
    'speed' => -1,
247
    'synth_file' => 'XST Defaults*',
248
    'synthesisTool' => 'XST',
249
    'synthesis_language' => 'vhdl',
250
    'synthesis_tool' => 'XST',
251
    'synthesis_tool_sgadvanced' => '',
252
    'sysclk_period' => 5,
253
    'sysgen' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
254
    'sysgenRoot' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
255
    'sysgenTokenSettings' => {
256
      'Impl_file' => 'ISE Defaults',
257
      'Impl_file_sgadvanced' => '',
258
      'Synth_file' => 'XST Defaults',
259
      'Synth_file_sgadvanced' => '',
260
      'base_system_period_hardware' => 5,
261
      'base_system_period_simulink' => '8e-009',
262
      'block_icon_display' => 'Default',
263
      'block_type' => 'sysgen',
264
      'block_version' => '',
265
      'ce_clr' => 0,
266
      'clock_loc' => '',
267
      'clock_wrapper' => 'Clock Enables',
268
      'clock_wrapper_sgadvanced' => '',
269
      'compilation' => 'NGC Netlist',
270
      'compilation_lut' => {
271
        'keys' => [
272
          'HDL Netlist',
273
          'Bitstream',
274
          'NGC Netlist',
275
        ],
276
        'values' => [
277
          'target1',
278
          'target2',
279
          'target3',
280
        ],
281
      },
282
      'core_generation' => 1,
283
      'core_generation_sgadvanced' => '',
284
      'coregen_part_family' => 'virtex6',
285
      'create_interface_document' => 'off',
286
      'dbl_ovrd' => -1,
287
      'dbl_ovrd_sgadvanced' => '',
288
      'dcm_input_clock_period' => 5,
289
      'deprecated_control' => 'off',
290
      'deprecated_control_sgadvanced' => '',
291
      'directory' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC',
292
      'eval_field' => 0,
293
      'has_advanced_control' => 0,
294
      'impl_file' => 'ISE Defaults*',
295
      'incr_netlist' => 'off',
296
      'incr_netlist_sgadvanced' => '',
297
      'infoedit' => ' System Generator',
298
      'master_sysgen_token_handle' => 2085.00048828125,
299
      'ngc_config' => {
300
        'include_cf' => 1,
301
        'include_clockwrapper' => 1,
302
      },
303
      'package' => 'ff1156',
304
      'part' => 'xc6vlx240t',
305
      'postgeneration_fcn' => 'xlNGCPostGeneration',
306
      'preserve_hierarchy' => 0,
307
      'proj_type' => 'Project Navigator',
308
      'proj_type_sgadvanced' => '',
309
      'run_coregen' => 'off',
310
      'run_coregen_sgadvanced' => '',
311
      'settings_fcn' => 'xlngcsettings',
312
      'sg_blockgui_xml' => '',
313
      'sg_icon_stat' => '50,50,-1,-1,token,white,0,07734,right,,[ ],[ ]',
314
      'sg_list_contents' => '',
315
      'sg_mask_display' => 'fprintf(\'\',\'COMMENT: begin icon graphics\');
316
patch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]);
317
patch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.155 36.655 ],[0.933333 0.203922 0.141176 ]);
318
patch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 36.655 26.155 ],[0.698039 0.0313725 0.219608 ]);
319
patch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.155 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);
320
patch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.155 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);
321
fprintf(\'\',\'COMMENT: end icon graphics\');
322
fprintf(\'\',\'COMMENT: begin icon text\');
323
fprintf(\'\',\'COMMENT: end icon text\');',
324
      'sggui_pos' => '-1,-1,-1,-1',
325
      'simulation_island_subsystem_handle' => 2084.00048828125,
326
      'simulink_period' => '8e-009',
327
      'speed' => -1,
328
      'synth_file' => 'XST Defaults*',
329
      'synthesis_language' => 'vhdl',
330
      'synthesis_tool' => 'XST',
331
      'synthesis_tool_sgadvanced' => '',
332
      'sysclk_period' => 5,
333
      'testbench' => 0,
334
      'testbench_sgadvanced' => '',
335
      'trim_vbits' => 1,
336
      'trim_vbits_sgadvanced' => '',
337
      'xilinx_device' => 'xc6vlx240t-1ff1156',
338
      'xilinxfamily' => 'virtex6',
339
    },
340
    'sysgen_Root' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE/sysgen',
341
    'systemClockPeriod' => 5,
342
    'tempdir' => 'C:/DOCUME~1/root/IMPOST~1/Temp',
343
    'testbench' => 0,
344
    'testbench_sgadvanced' => '',
345
    'tmpDir' => 'C:/Temp/Xilinx PCI Express/ML605_ISE13.3/MyUserLogic/UserLogic_00/top_level_0_PCIe_UserLogic_00_INOUT_LOGIC/sysgen',
346
    'trim_vbits' => 1,
347
    'trim_vbits_sgadvanced' => '',
348
    'ucfFile' => 'inout_logic_cw.ucf',
349
    'use_ce_syn_keep' => 1,
350
    'use_strict_names' => 1,
351
    'user_tips_enabled' => 0,
352
    'usertemp' => 'C:/DOCUME~1/root/IMPOST~1/Temp/sysgentmp-root',
353
    'using71Netlister' => 1,
354
    'verilog_files' => [
355
      'conv_pkg.v',
356
      'synth_reg.v',
357
      'synth_reg_w_init.v',
358
      'convert_type.v',
359
    ],
360
    'version' => '',
361
    'vhdl_files' => [
362
      'conv_pkg.vhd',
363
      'synth_reg.vhd',
364
      'synth_reg_w_init.vhd',
365
    ],
366
    'vsimtime' => '6875000275.000000 ns',
367
    'xcfFile' => 'inout_logic_cw.xcf',
368
    'xilinx' => 'C:/Programmi/Xilinx/13.3/ISE_DS/ISE',
369
    'xilinx_device' => 'xc6vlx240t-1ff1156',
370
    'xilinx_family' => 'virtex6',
371
    'xilinx_package' => 'ff1156',
372
    'xilinx_part' => 'xc6vlx240t',
373
    'xilinxdevice' => 'xc6vlx240t-1ff1156',
374
    'xilinxfamily' => 'virtex6',
375
    'xilinxpart' => 'xc6vlx240t',
376
  },
377
  'entityName' => '',
378
  'nets' => {
379
    '.clk' => {
380
      'hdlType' => 'std_logic',
381
      'width' => 1,
382
    },
383
    '.debug_in_1i' => {
384
      'hdlType' => 'std_logic_vector(31 downto 0)',
385
      'width' => 32,
386
    },
387
    '.debug_in_2i' => {
388
      'hdlType' => 'std_logic_vector(31 downto 0)',
389
      'width' => 32,
390
    },
391
    '.debug_in_3i' => {
392
      'hdlType' => 'std_logic_vector(31 downto 0)',
393
      'width' => 32,
394
    },
395
    '.debug_in_4i' => {
396
      'hdlType' => 'std_logic_vector(31 downto 0)',
397
      'width' => 32,
398
    },
399
    '.dma_host2board_busy' => {
400
      'hdlType' => 'std_logic',
401
      'width' => 1,
402
    },
403
    '.dma_host2board_done' => {
404
      'hdlType' => 'std_logic',
405
      'width' => 1,
406
    },
407
    '.reg01_td' => {
408
      'hdlType' => 'std_logic_vector(31 downto 0)',
409
      'width' => 32,
410
    },
411
    '.reg01_tv' => {
412
      'hdlType' => 'std_logic',
413
      'width' => 1,
414
    },
415
    '.reg02_td' => {
416
      'hdlType' => 'std_logic_vector(31 downto 0)',
417
      'width' => 32,
418
    },
419
    '.reg02_tv' => {
420
      'hdlType' => 'std_logic',
421
      'width' => 1,
422
    },
423
    '.reg03_td' => {
424
      'hdlType' => 'std_logic_vector(31 downto 0)',
425
      'width' => 32,
426
    },
427
    '.reg03_tv' => {
428
      'hdlType' => 'std_logic',
429
      'width' => 1,
430
    },
431
    '.reg04_td' => {
432
      'hdlType' => 'std_logic_vector(31 downto 0)',
433
      'width' => 32,
434
    },
435
    '.reg04_tv' => {
436
      'hdlType' => 'std_logic',
437
      'width' => 1,
438
    },
439
    '.reg05_td' => {
440
      'hdlType' => 'std_logic_vector(31 downto 0)',
441
      'width' => 32,
442
    },
443
    '.reg05_tv' => {
444
      'hdlType' => 'std_logic',
445
      'width' => 1,
446
    },
447
    '.reg06_td' => {
448
      'hdlType' => 'std_logic_vector(31 downto 0)',
449
      'width' => 32,
450
    },
451
    '.reg06_tv' => {
452
      'hdlType' => 'std_logic',
453
      'width' => 1,
454
    },
455
    '.reg07_td' => {
456
      'hdlType' => 'std_logic_vector(31 downto 0)',
457
      'width' => 32,
458
    },
459
    '.reg07_tv' => {
460
      'hdlType' => 'std_logic',
461
      'width' => 1,
462
    },
463
    '.reg08_td' => {
464
      'hdlType' => 'std_logic_vector(31 downto 0)',
465
      'width' => 32,
466
    },
467
    '.reg08_tv' => {
468
      'hdlType' => 'std_logic',
469
      'width' => 1,
470
    },
471
    '.reg09_td' => {
472
      'hdlType' => 'std_logic_vector(31 downto 0)',
473
      'width' => 32,
474
    },
475
    '.reg09_tv' => {
476
      'hdlType' => 'std_logic',
477
      'width' => 1,
478
    },
479
    '.reg10_td' => {
480
      'hdlType' => 'std_logic_vector(31 downto 0)',
481
      'width' => 32,
482
    },
483
    '.reg10_tv' => {
484
      'hdlType' => 'std_logic',
485
      'width' => 1,
486
    },
487
    '.reg11_td' => {
488
      'hdlType' => 'std_logic_vector(31 downto 0)',
489
      'width' => 32,
490
    },
491
    '.reg11_tv' => {
492
      'hdlType' => 'std_logic',
493
      'width' => 1,
494
    },
495
    '.reg12_td' => {
496
      'hdlType' => 'std_logic_vector(31 downto 0)',
497
      'width' => 32,
498
    },
499
    '.reg12_tv' => {
500
      'hdlType' => 'std_logic',
501
      'width' => 1,
502
    },
503
    '.reg13_td' => {
504
      'hdlType' => 'std_logic_vector(31 downto 0)',
505
      'width' => 32,
506
    },
507
    '.reg13_tv' => {
508
      'hdlType' => 'std_logic',
509
      'width' => 1,
510
    },
511
    '.reg14_td' => {
512
      'hdlType' => 'std_logic_vector(31 downto 0)',
513
      'width' => 32,
514
    },
515
    '.reg14_tv' => {
516
      'hdlType' => 'std_logic',
517
      'width' => 1,
518
    },
519
    'from_register1.data_out' => {
520
      'hdlType' => 'std_logic',
521
      'width' => 1,
522
    },
523
    'from_register10.data_out' => {
524
      'hdlType' => 'std_logic_vector(31 downto 0)',
525
      'width' => 32,
526
    },
527
    'from_register11.data_out' => {
528
      'hdlType' => 'std_logic_vector(31 downto 0)',
529
      'width' => 32,
530
    },
531
    'from_register12.data_out' => {
532
      'hdlType' => 'std_logic',
533
      'width' => 1,
534
    },
535
    'from_register13.data_out' => {
536
      'hdlType' => 'std_logic_vector(31 downto 0)',
537
      'width' => 32,
538
    },
539
    'from_register14.data_out' => {
540
      'hdlType' => 'std_logic',
541
      'width' => 1,
542
    },
543
    'from_register15.data_out' => {
544
      'hdlType' => 'std_logic_vector(31 downto 0)',
545
      'width' => 32,
546
    },
547
    'from_register16.data_out' => {
548
      'hdlType' => 'std_logic',
549
      'width' => 1,
550
    },
551
    'from_register17.data_out' => {
552
      'hdlType' => 'std_logic_vector(31 downto 0)',
553
      'width' => 32,
554
    },
555
    'from_register18.data_out' => {
556
      'hdlType' => 'std_logic',
557
      'width' => 1,
558
    },
559
    'from_register19.data_out' => {
560
      'hdlType' => 'std_logic_vector(31 downto 0)',
561
      'width' => 32,
562
    },
563
    'from_register2.data_out' => {
564
      'hdlType' => 'std_logic',
565
      'width' => 1,
566
    },
567
    'from_register20.data_out' => {
568
      'hdlType' => 'std_logic',
569
      'width' => 1,
570
    },
571
    'from_register21.data_out' => {
572
      'hdlType' => 'std_logic_vector(31 downto 0)',
573
      'width' => 32,
574
    },
575
    'from_register22.data_out' => {
576
      'hdlType' => 'std_logic',
577
      'width' => 1,
578
    },
579
    'from_register23.data_out' => {
580
      'hdlType' => 'std_logic_vector(31 downto 0)',
581
      'width' => 32,
582
    },
583
    'from_register24.data_out' => {
584
      'hdlType' => 'std_logic',
585
      'width' => 1,
586
    },
587
    'from_register25.data_out' => {
588
      'hdlType' => 'std_logic_vector(31 downto 0)',
589
      'width' => 32,
590
    },
591
    'from_register26.data_out' => {
592
      'hdlType' => 'std_logic',
593
      'width' => 1,
594
    },
595
    'from_register27.data_out' => {
596
      'hdlType' => 'std_logic_vector(31 downto 0)',
597
      'width' => 32,
598
    },
599
    'from_register28.data_out' => {
600
      'hdlType' => 'std_logic',
601
      'width' => 1,
602
    },
603
    'from_register3.data_out' => {
604
      'hdlType' => 'std_logic_vector(31 downto 0)',
605
      'width' => 32,
606
    },
607
    'from_register4.data_out' => {
608
      'hdlType' => 'std_logic',
609
      'width' => 1,
610
    },
611
    'from_register5.data_out' => {
612
      'hdlType' => 'std_logic_vector(31 downto 0)',
613
      'width' => 32,
614
    },
615
    'from_register6.data_out' => {
616
      'hdlType' => 'std_logic',
617
      'width' => 1,
618
    },
619
    'from_register7.data_out' => {
620
      'hdlType' => 'std_logic_vector(31 downto 0)',
621
      'width' => 32,
622
    },
623
    'from_register8.data_out' => {
624
      'hdlType' => 'std_logic_vector(31 downto 0)',
625
      'width' => 32,
626
    },
627
    'from_register9.data_out' => {
628
      'hdlType' => 'std_logic',
629
      'width' => 1,
630
    },
631
    'sysgen_dut.reg01_rd' => {
632
      'hdlType' => 'std_logic_vector(31 downto 0)',
633
      'width' => 32,
634
    },
635
    'sysgen_dut.reg01_rv' => {
636
      'hdlType' => 'std_logic',
637
      'width' => 1,
638
    },
639
    'sysgen_dut.reg02_rd' => {
640
      'hdlType' => 'std_logic_vector(31 downto 0)',
641
      'width' => 32,
642
    },
643
    'sysgen_dut.reg02_rv' => {
644
      'hdlType' => 'std_logic',
645
      'width' => 1,
646
    },
647
    'sysgen_dut.reg03_rd' => {
648
      'hdlType' => 'std_logic_vector(31 downto 0)',
649
      'width' => 32,
650
    },
651
    'sysgen_dut.reg03_rv' => {
652
      'hdlType' => 'std_logic',
653
      'width' => 1,
654
    },
655
    'sysgen_dut.reg04_rd' => {
656
      'hdlType' => 'std_logic_vector(31 downto 0)',
657
      'width' => 32,
658
    },
659
    'sysgen_dut.reg04_rv' => {
660
      'hdlType' => 'std_logic',
661
      'width' => 1,
662
    },
663
    'sysgen_dut.reg05_rd' => {
664
      'hdlType' => 'std_logic_vector(31 downto 0)',
665
      'width' => 32,
666
    },
667
    'sysgen_dut.reg05_rv' => {
668
      'hdlType' => 'std_logic',
669
      'width' => 1,
670
    },
671
    'sysgen_dut.reg06_rd' => {
672
      'hdlType' => 'std_logic_vector(31 downto 0)',
673
      'width' => 32,
674
    },
675
    'sysgen_dut.reg06_rv' => {
676
      'hdlType' => 'std_logic',
677
      'width' => 1,
678
    },
679
    'sysgen_dut.reg07_rd' => {
680
      'hdlType' => 'std_logic_vector(31 downto 0)',
681
      'width' => 32,
682
    },
683
    'sysgen_dut.reg07_rv' => {
684
      'hdlType' => 'std_logic',
685
      'width' => 1,
686
    },
687
    'sysgen_dut.reg08_rd' => {
688
      'hdlType' => 'std_logic_vector(31 downto 0)',
689
      'width' => 32,
690
    },
691
    'sysgen_dut.reg08_rv' => {
692
      'hdlType' => 'std_logic',
693
      'width' => 1,
694
    },
695
    'sysgen_dut.reg09_rd' => {
696
      'hdlType' => 'std_logic_vector(31 downto 0)',
697
      'width' => 32,
698
    },
699
    'sysgen_dut.reg09_rv' => {
700
      'hdlType' => 'std_logic',
701
      'width' => 1,
702
    },
703
    'sysgen_dut.reg10_rd' => {
704
      'hdlType' => 'std_logic_vector(31 downto 0)',
705
      'width' => 32,
706
    },
707
    'sysgen_dut.reg10_rv' => {
708
      'hdlType' => 'std_logic',
709
      'width' => 1,
710
    },
711
    'sysgen_dut.reg11_rd' => {
712
      'hdlType' => 'std_logic_vector(31 downto 0)',
713
      'width' => 32,
714
    },
715
    'sysgen_dut.reg11_rv' => {
716
      'hdlType' => 'std_logic',
717
      'width' => 1,
718
    },
719
    'sysgen_dut.reg12_rd' => {
720
      'hdlType' => 'std_logic_vector(31 downto 0)',
721
      'width' => 32,
722
    },
723
    'sysgen_dut.reg12_rv' => {
724
      'hdlType' => 'std_logic',
725
      'width' => 1,
726
    },
727
    'sysgen_dut.reg13_rd' => {
728
      'hdlType' => 'std_logic_vector(31 downto 0)',
729
      'width' => 32,
730
    },
731
    'sysgen_dut.reg13_rv' => {
732
      'hdlType' => 'std_logic',
733
      'width' => 1,
734
    },
735
    'sysgen_dut.reg14_rd' => {
736
      'hdlType' => 'std_logic_vector(31 downto 0)',
737
      'width' => 32,
738
    },
739
    'sysgen_dut.reg14_rv' => {
740
      'hdlType' => 'std_logic',
741
      'width' => 1,
742
    },
743
    'sysgen_dut.to_register10_ce' => {
744
      'hdlType' => 'std_logic',
745
      'width' => 1,
746
    },
747
    'sysgen_dut.to_register10_clk' => {
748
      'hdlType' => 'std_logic',
749
      'width' => 1,
750
    },
751
    'sysgen_dut.to_register10_clr' => {
752
      'hdlType' => 'std_logic',
753
      'width' => 1,
754
    },
755
    'sysgen_dut.to_register10_data_in' => {
756
      'hdlType' => 'std_logic',
757
      'width' => 1,
758
    },
759
    'sysgen_dut.to_register10_en' => {
760
      'hdlType' => 'std_logic',
761
      'width' => 1,
762
    },
763
    'sysgen_dut.to_register11_ce' => {
764
      'hdlType' => 'std_logic',
765
      'width' => 1,
766
    },
767
    'sysgen_dut.to_register11_clk' => {
768
      'hdlType' => 'std_logic',
769
      'width' => 1,
770
    },
771
    'sysgen_dut.to_register11_clr' => {
772
      'hdlType' => 'std_logic',
773
      'width' => 1,
774
    },
775
    'sysgen_dut.to_register11_data_in' => {
776
      'hdlType' => 'std_logic_vector(31 downto 0)',
777
      'width' => 32,
778
    },
779
    'sysgen_dut.to_register11_en' => {
780
      'hdlType' => 'std_logic',
781
      'width' => 1,
782
    },
783
    'sysgen_dut.to_register12_ce' => {
784
      'hdlType' => 'std_logic',
785
      'width' => 1,
786
    },
787
    'sysgen_dut.to_register12_clk' => {
788
      'hdlType' => 'std_logic',
789
      'width' => 1,
790
    },
791
    'sysgen_dut.to_register12_clr' => {
792
      'hdlType' => 'std_logic',
793
      'width' => 1,
794
    },
795
    'sysgen_dut.to_register12_data_in' => {
796
      'hdlType' => 'std_logic',
797
      'width' => 1,
798
    },
799
    'sysgen_dut.to_register12_en' => {
800
      'hdlType' => 'std_logic',
801
      'width' => 1,
802
    },
803
    'sysgen_dut.to_register13_ce' => {
804
      'hdlType' => 'std_logic',
805
      'width' => 1,
806
    },
807
    'sysgen_dut.to_register13_clk' => {
808
      'hdlType' => 'std_logic',
809
      'width' => 1,
810
    },
811
    'sysgen_dut.to_register13_clr' => {
812
      'hdlType' => 'std_logic',
813
      'width' => 1,
814
    },
815
    'sysgen_dut.to_register13_data_in' => {
816
      'hdlType' => 'std_logic_vector(31 downto 0)',
817
      'width' => 32,
818
    },
819
    'sysgen_dut.to_register13_en' => {
820
      'hdlType' => 'std_logic',
821
      'width' => 1,
822
    },
823
    'sysgen_dut.to_register14_ce' => {
824
      'hdlType' => 'std_logic',
825
      'width' => 1,
826
    },
827
    'sysgen_dut.to_register14_clk' => {
828
      'hdlType' => 'std_logic',
829
      'width' => 1,
830
    },
831
    'sysgen_dut.to_register14_clr' => {
832
      'hdlType' => 'std_logic',
833
      'width' => 1,
834
    },
835
    'sysgen_dut.to_register14_data_in' => {
836
      'hdlType' => 'std_logic',
837
      'width' => 1,
838
    },
839
    'sysgen_dut.to_register14_en' => {
840
      'hdlType' => 'std_logic',
841
      'width' => 1,
842
    },
843
    'sysgen_dut.to_register15_ce' => {
844
      'hdlType' => 'std_logic',
845
      'width' => 1,
846
    },
847
    'sysgen_dut.to_register15_clk' => {
848
      'hdlType' => 'std_logic',
849
      'width' => 1,
850
    },
851
    'sysgen_dut.to_register15_clr' => {
852
      'hdlType' => 'std_logic',
853
      'width' => 1,
854
    },
855
    'sysgen_dut.to_register15_data_in' => {
856
      'hdlType' => 'std_logic_vector(31 downto 0)',
857
      'width' => 32,
858
    },
859
    'sysgen_dut.to_register15_en' => {
860
      'hdlType' => 'std_logic',
861
      'width' => 1,
862
    },
863
    'sysgen_dut.to_register16_ce' => {
864
      'hdlType' => 'std_logic',
865
      'width' => 1,
866
    },
867
    'sysgen_dut.to_register16_clk' => {
868
      'hdlType' => 'std_logic',
869
      'width' => 1,
870
    },
871
    'sysgen_dut.to_register16_clr' => {
872
      'hdlType' => 'std_logic',
873
      'width' => 1,
874
    },
875
    'sysgen_dut.to_register16_data_in' => {
876
      'hdlType' => 'std_logic',
877
      'width' => 1,
878
    },
879
    'sysgen_dut.to_register16_en' => {
880
      'hdlType' => 'std_logic',
881
      'width' => 1,
882
    },
883
    'sysgen_dut.to_register17_ce' => {
884
      'hdlType' => 'std_logic',
885
      'width' => 1,
886
    },
887
    'sysgen_dut.to_register17_clk' => {
888
      'hdlType' => 'std_logic',
889
      'width' => 1,
890
    },
891
    'sysgen_dut.to_register17_clr' => {
892
      'hdlType' => 'std_logic',
893
      'width' => 1,
894
    },
895
    'sysgen_dut.to_register17_data_in' => {
896
      'hdlType' => 'std_logic_vector(31 downto 0)',
897
      'width' => 32,
898
    },
899
    'sysgen_dut.to_register17_en' => {
900
      'hdlType' => 'std_logic',
901
      'width' => 1,
902
    },
903
    'sysgen_dut.to_register18_ce' => {
904
      'hdlType' => 'std_logic',
905
      'width' => 1,
906
    },
907
    'sysgen_dut.to_register18_clk' => {
908
      'hdlType' => 'std_logic',
909
      'width' => 1,
910
    },
911
    'sysgen_dut.to_register18_clr' => {
912
      'hdlType' => 'std_logic',
913
      'width' => 1,
914
    },
915
    'sysgen_dut.to_register18_data_in' => {
916
      'hdlType' => 'std_logic',
917
      'width' => 1,
918
    },
919
    'sysgen_dut.to_register18_en' => {
920
      'hdlType' => 'std_logic',
921
      'width' => 1,
922
    },
923
    'sysgen_dut.to_register19_ce' => {
924
      'hdlType' => 'std_logic',
925
      'width' => 1,
926
    },
927
    'sysgen_dut.to_register19_clk' => {
928
      'hdlType' => 'std_logic',
929
      'width' => 1,
930
    },
931
    'sysgen_dut.to_register19_clr' => {
932
      'hdlType' => 'std_logic',
933
      'width' => 1,
934
    },
935
    'sysgen_dut.to_register19_data_in' => {
936
      'hdlType' => 'std_logic',
937
      'width' => 1,
938
    },
939
    'sysgen_dut.to_register19_en' => {
940
      'hdlType' => 'std_logic',
941
      'width' => 1,
942
    },
943
    'sysgen_dut.to_register1_ce' => {
944
      'hdlType' => 'std_logic',
945
      'width' => 1,
946
    },
947
    'sysgen_dut.to_register1_clk' => {
948
      'hdlType' => 'std_logic',
949
      'width' => 1,
950
    },
951
    'sysgen_dut.to_register1_clr' => {
952
      'hdlType' => 'std_logic',
953
      'width' => 1,
954
    },
955
    'sysgen_dut.to_register1_data_in' => {
956
      'hdlType' => 'std_logic_vector(31 downto 0)',
957
      'width' => 32,
958
    },
959
    'sysgen_dut.to_register1_en' => {
960
      'hdlType' => 'std_logic',
961
      'width' => 1,
962
    },
963
    'sysgen_dut.to_register20_ce' => {
964
      'hdlType' => 'std_logic',
965
      'width' => 1,
966
    },
967
    'sysgen_dut.to_register20_clk' => {
968
      'hdlType' => 'std_logic',
969
      'width' => 1,
970
    },
971
    'sysgen_dut.to_register20_clr' => {
972
      'hdlType' => 'std_logic',
973
      'width' => 1,
974
    },
975
    'sysgen_dut.to_register20_data_in' => {
976
      'hdlType' => 'std_logic_vector(31 downto 0)',
977
      'width' => 32,
978
    },
979
    'sysgen_dut.to_register20_en' => {
980
      'hdlType' => 'std_logic',
981
      'width' => 1,
982
    },
983
    'sysgen_dut.to_register21_ce' => {
984
      'hdlType' => 'std_logic',
985
      'width' => 1,
986
    },
987
    'sysgen_dut.to_register21_clk' => {
988
      'hdlType' => 'std_logic',
989
      'width' => 1,
990
    },
991
    'sysgen_dut.to_register21_clr' => {
992
      'hdlType' => 'std_logic',
993
      'width' => 1,
994
    },
995
    'sysgen_dut.to_register21_data_in' => {
996
      'hdlType' => 'std_logic',
997
      'width' => 1,
998
    },
999
    'sysgen_dut.to_register21_en' => {
1000
      'hdlType' => 'std_logic',
1001
      'width' => 1,
1002
    },
1003
    'sysgen_dut.to_register22_ce' => {
1004
      'hdlType' => 'std_logic',
1005
      'width' => 1,
1006
    },
1007
    'sysgen_dut.to_register22_clk' => {
1008
      'hdlType' => 'std_logic',
1009
      'width' => 1,
1010
    },
1011
    'sysgen_dut.to_register22_clr' => {
1012
      'hdlType' => 'std_logic',
1013
      'width' => 1,
1014
    },
1015
    'sysgen_dut.to_register22_data_in' => {
1016
      'hdlType' => 'std_logic_vector(31 downto 0)',
1017
      'width' => 32,
1018
    },
1019
    'sysgen_dut.to_register22_en' => {
1020
      'hdlType' => 'std_logic',
1021
      'width' => 1,
1022
    },
1023
    'sysgen_dut.to_register23_ce' => {
1024
      'hdlType' => 'std_logic',
1025
      'width' => 1,
1026
    },
1027
    'sysgen_dut.to_register23_clk' => {
1028
      'hdlType' => 'std_logic',
1029
      'width' => 1,
1030
    },
1031
    'sysgen_dut.to_register23_clr' => {
1032
      'hdlType' => 'std_logic',
1033
      'width' => 1,
1034
    },
1035
    'sysgen_dut.to_register23_data_in' => {
1036
      'hdlType' => 'std_logic',
1037
      'width' => 1,
1038
    },
1039
    'sysgen_dut.to_register23_en' => {
1040
      'hdlType' => 'std_logic',
1041
      'width' => 1,
1042
    },
1043
    'sysgen_dut.to_register24_ce' => {
1044
      'hdlType' => 'std_logic',
1045
      'width' => 1,
1046
    },
1047
    'sysgen_dut.to_register24_clk' => {
1048
      'hdlType' => 'std_logic',
1049
      'width' => 1,
1050
    },
1051
    'sysgen_dut.to_register24_clr' => {
1052
      'hdlType' => 'std_logic',
1053
      'width' => 1,
1054
    },
1055
    'sysgen_dut.to_register24_data_in' => {
1056
      'hdlType' => 'std_logic_vector(31 downto 0)',
1057
      'width' => 32,
1058
    },
1059
    'sysgen_dut.to_register24_en' => {
1060
      'hdlType' => 'std_logic',
1061
      'width' => 1,
1062
    },
1063
    'sysgen_dut.to_register25_ce' => {
1064
      'hdlType' => 'std_logic',
1065
      'width' => 1,
1066
    },
1067
    'sysgen_dut.to_register25_clk' => {
1068
      'hdlType' => 'std_logic',
1069
      'width' => 1,
1070
    },
1071
    'sysgen_dut.to_register25_clr' => {
1072
      'hdlType' => 'std_logic',
1073
      'width' => 1,
1074
    },
1075
    'sysgen_dut.to_register25_data_in' => {
1076
      'hdlType' => 'std_logic',
1077
      'width' => 1,
1078
    },
1079
    'sysgen_dut.to_register25_en' => {
1080
      'hdlType' => 'std_logic',
1081
      'width' => 1,
1082
    },
1083
    'sysgen_dut.to_register26_ce' => {
1084
      'hdlType' => 'std_logic',
1085
      'width' => 1,
1086
    },
1087
    'sysgen_dut.to_register26_clk' => {
1088
      'hdlType' => 'std_logic',
1089
      'width' => 1,
1090
    },
1091
    'sysgen_dut.to_register26_clr' => {
1092
      'hdlType' => 'std_logic',
1093
      'width' => 1,
1094
    },
1095
    'sysgen_dut.to_register26_data_in' => {
1096
      'hdlType' => 'std_logic_vector(31 downto 0)',
1097
      'width' => 32,
1098
    },
1099
    'sysgen_dut.to_register26_en' => {
1100
      'hdlType' => 'std_logic',
1101
      'width' => 1,
1102
    },
1103
    'sysgen_dut.to_register27_ce' => {
1104
      'hdlType' => 'std_logic',
1105
      'width' => 1,
1106
    },
1107
    'sysgen_dut.to_register27_clk' => {
1108
      'hdlType' => 'std_logic',
1109
      'width' => 1,
1110
    },
1111
    'sysgen_dut.to_register27_clr' => {
1112
      'hdlType' => 'std_logic',
1113
      'width' => 1,
1114
    },
1115
    'sysgen_dut.to_register27_data_in' => {
1116
      'hdlType' => 'std_logic',
1117
      'width' => 1,
1118
    },
1119
    'sysgen_dut.to_register27_en' => {
1120
      'hdlType' => 'std_logic',
1121
      'width' => 1,
1122
    },
1123
    'sysgen_dut.to_register28_ce' => {
1124
      'hdlType' => 'std_logic',
1125
      'width' => 1,
1126
    },
1127
    'sysgen_dut.to_register28_clk' => {
1128
      'hdlType' => 'std_logic',
1129
      'width' => 1,
1130
    },
1131
    'sysgen_dut.to_register28_clr' => {
1132
      'hdlType' => 'std_logic',
1133
      'width' => 1,
1134
    },
1135
    'sysgen_dut.to_register28_data_in' => {
1136
      'hdlType' => 'std_logic_vector(31 downto 0)',
1137
      'width' => 32,
1138
    },
1139
    'sysgen_dut.to_register28_en' => {
1140
      'hdlType' => 'std_logic',
1141
      'width' => 1,
1142
    },
1143
    'sysgen_dut.to_register29_ce' => {
1144
      'hdlType' => 'std_logic',
1145
      'width' => 1,
1146
    },
1147
    'sysgen_dut.to_register29_clk' => {
1148
      'hdlType' => 'std_logic',
1149
      'width' => 1,
1150
    },
1151
    'sysgen_dut.to_register29_clr' => {
1152
      'hdlType' => 'std_logic',
1153
      'width' => 1,
1154
    },
1155
    'sysgen_dut.to_register29_data_in' => {
1156
      'hdlType' => 'std_logic',
1157
      'width' => 1,
1158
    },
1159
    'sysgen_dut.to_register29_en' => {
1160
      'hdlType' => 'std_logic',
1161
      'width' => 1,
1162
    },
1163
    'sysgen_dut.to_register2_ce' => {
1164
      'hdlType' => 'std_logic',
1165
      'width' => 1,
1166
    },
1167
    'sysgen_dut.to_register2_clk' => {
1168
      'hdlType' => 'std_logic',
1169
      'width' => 1,
1170
    },
1171
    'sysgen_dut.to_register2_clr' => {
1172
      'hdlType' => 'std_logic',
1173
      'width' => 1,
1174
    },
1175
    'sysgen_dut.to_register2_data_in' => {
1176
      'hdlType' => 'std_logic_vector(31 downto 0)',
1177
      'width' => 32,
1178
    },
1179
    'sysgen_dut.to_register2_en' => {
1180
      'hdlType' => 'std_logic',
1181
      'width' => 1,
1182
    },
1183
    'sysgen_dut.to_register30_ce' => {
1184
      'hdlType' => 'std_logic',
1185
      'width' => 1,
1186
    },
1187
    'sysgen_dut.to_register30_clk' => {
1188
      'hdlType' => 'std_logic',
1189
      'width' => 1,
1190
    },
1191
    'sysgen_dut.to_register30_clr' => {
1192
      'hdlType' => 'std_logic',
1193
      'width' => 1,
1194
    },
1195
    'sysgen_dut.to_register30_data_in' => {
1196
      'hdlType' => 'std_logic_vector(31 downto 0)',
1197
      'width' => 32,
1198
    },
1199
    'sysgen_dut.to_register30_en' => {
1200
      'hdlType' => 'std_logic',
1201
      'width' => 1,
1202
    },
1203
    'sysgen_dut.to_register31_ce' => {
1204
      'hdlType' => 'std_logic',
1205
      'width' => 1,
1206
    },
1207
    'sysgen_dut.to_register31_clk' => {
1208
      'hdlType' => 'std_logic',
1209
      'width' => 1,
1210
    },
1211
    'sysgen_dut.to_register31_clr' => {
1212
      'hdlType' => 'std_logic',
1213
      'width' => 1,
1214
    },
1215
    'sysgen_dut.to_register31_data_in' => {
1216
      'hdlType' => 'std_logic',
1217
      'width' => 1,
1218
    },
1219
    'sysgen_dut.to_register31_en' => {
1220
      'hdlType' => 'std_logic',
1221
      'width' => 1,
1222
    },
1223
    'sysgen_dut.to_register32_ce' => {
1224
      'hdlType' => 'std_logic',
1225
      'width' => 1,
1226
    },
1227
    'sysgen_dut.to_register32_clk' => {
1228
      'hdlType' => 'std_logic',
1229
      'width' => 1,
1230
    },
1231
    'sysgen_dut.to_register32_clr' => {
1232
      'hdlType' => 'std_logic',
1233
      'width' => 1,
1234
    },
1235
    'sysgen_dut.to_register32_data_in' => {
1236
      'hdlType' => 'std_logic_vector(31 downto 0)',
1237
      'width' => 32,
1238
    },
1239
    'sysgen_dut.to_register32_en' => {
1240
      'hdlType' => 'std_logic',
1241
      'width' => 1,
1242
    },
1243
    'sysgen_dut.to_register33_ce' => {
1244
      'hdlType' => 'std_logic',
1245
      'width' => 1,
1246
    },
1247
    'sysgen_dut.to_register33_clk' => {
1248
      'hdlType' => 'std_logic',
1249
      'width' => 1,
1250
    },
1251
    'sysgen_dut.to_register33_clr' => {
1252
      'hdlType' => 'std_logic',
1253
      'width' => 1,
1254
    },
1255
    'sysgen_dut.to_register33_data_in' => {
1256
      'hdlType' => 'std_logic',
1257
      'width' => 1,
1258
    },
1259
    'sysgen_dut.to_register33_en' => {
1260
      'hdlType' => 'std_logic',
1261
      'width' => 1,
1262
    },
1263
    'sysgen_dut.to_register34_ce' => {
1264
      'hdlType' => 'std_logic',
1265
      'width' => 1,
1266
    },
1267
    'sysgen_dut.to_register34_clk' => {
1268
      'hdlType' => 'std_logic',
1269
      'width' => 1,
1270
    },
1271
    'sysgen_dut.to_register34_clr' => {
1272
      'hdlType' => 'std_logic',
1273
      'width' => 1,
1274
    },
1275
    'sysgen_dut.to_register34_data_in' => {
1276
      'hdlType' => 'std_logic_vector(31 downto 0)',
1277
      'width' => 32,
1278
    },
1279
    'sysgen_dut.to_register34_en' => {
1280
      'hdlType' => 'std_logic',
1281
      'width' => 1,
1282
    },
1283
    'sysgen_dut.to_register3_ce' => {
1284
      'hdlType' => 'std_logic',
1285
      'width' => 1,
1286
    },
1287
    'sysgen_dut.to_register3_clk' => {
1288
      'hdlType' => 'std_logic',
1289
      'width' => 1,
1290
    },
1291
    'sysgen_dut.to_register3_clr' => {
1292
      'hdlType' => 'std_logic',
1293
      'width' => 1,
1294
    },
1295
    'sysgen_dut.to_register3_data_in' => {
1296
      'hdlType' => 'std_logic',
1297
      'width' => 1,
1298
    },
1299
    'sysgen_dut.to_register3_en' => {
1300
      'hdlType' => 'std_logic',
1301
      'width' => 1,
1302
    },
1303
    'sysgen_dut.to_register4_ce' => {
1304
      'hdlType' => 'std_logic',
1305
      'width' => 1,
1306
    },
1307
    'sysgen_dut.to_register4_clk' => {
1308
      'hdlType' => 'std_logic',
1309
      'width' => 1,
1310
    },
1311
    'sysgen_dut.to_register4_clr' => {
1312
      'hdlType' => 'std_logic',
1313
      'width' => 1,
1314
    },
1315
    'sysgen_dut.to_register4_data_in' => {
1316
      'hdlType' => 'std_logic',
1317
      'width' => 1,
1318
    },
1319
    'sysgen_dut.to_register4_en' => {
1320
      'hdlType' => 'std_logic',
1321
      'width' => 1,
1322
    },
1323
    'sysgen_dut.to_register5_ce' => {
1324
      'hdlType' => 'std_logic',
1325
      'width' => 1,
1326
    },
1327
    'sysgen_dut.to_register5_clk' => {
1328
      'hdlType' => 'std_logic',
1329
      'width' => 1,
1330
    },
1331
    'sysgen_dut.to_register5_clr' => {
1332
      'hdlType' => 'std_logic',
1333
      'width' => 1,
1334
    },
1335
    'sysgen_dut.to_register5_data_in' => {
1336
      'hdlType' => 'std_logic_vector(31 downto 0)',
1337
      'width' => 32,
1338
    },
1339
    'sysgen_dut.to_register5_en' => {
1340
      'hdlType' => 'std_logic',
1341
      'width' => 1,
1342
    },
1343
    'sysgen_dut.to_register6_ce' => {
1344
      'hdlType' => 'std_logic',
1345
      'width' => 1,
1346
    },
1347
    'sysgen_dut.to_register6_clk' => {
1348
      'hdlType' => 'std_logic',
1349
      'width' => 1,
1350
    },
1351
    'sysgen_dut.to_register6_clr' => {
1352
      'hdlType' => 'std_logic',
1353
      'width' => 1,
1354
    },
1355
    'sysgen_dut.to_register6_data_in' => {
1356
      'hdlType' => 'std_logic_vector(31 downto 0)',
1357
      'width' => 32,
1358
    },
1359
    'sysgen_dut.to_register6_en' => {
1360
      'hdlType' => 'std_logic',
1361
      'width' => 1,
1362
    },
1363
    'sysgen_dut.to_register7_ce' => {
1364
      'hdlType' => 'std_logic',
1365
      'width' => 1,
1366
    },
1367
    'sysgen_dut.to_register7_clk' => {
1368
      'hdlType' => 'std_logic',
1369
      'width' => 1,
1370
    },
1371
    'sysgen_dut.to_register7_clr' => {
1372
      'hdlType' => 'std_logic',
1373
      'width' => 1,
1374
    },
1375
    'sysgen_dut.to_register7_data_in' => {
1376
      'hdlType' => 'std_logic_vector(31 downto 0)',
1377
      'width' => 32,
1378
    },
1379
    'sysgen_dut.to_register7_en' => {
1380
      'hdlType' => 'std_logic',
1381
      'width' => 1,
1382
    },
1383
    'sysgen_dut.to_register8_ce' => {
1384
      'hdlType' => 'std_logic',
1385
      'width' => 1,
1386
    },
1387
    'sysgen_dut.to_register8_clk' => {
1388
      'hdlType' => 'std_logic',
1389
      'width' => 1,
1390
    },
1391
    'sysgen_dut.to_register8_clr' => {
1392
      'hdlType' => 'std_logic',
1393
      'width' => 1,
1394
    },
1395
    'sysgen_dut.to_register8_data_in' => {
1396
      'hdlType' => 'std_logic',
1397
      'width' => 1,
1398
    },
1399
    'sysgen_dut.to_register8_en' => {
1400
      'hdlType' => 'std_logic',
1401
      'width' => 1,
1402
    },
1403
    'sysgen_dut.to_register9_ce' => {
1404
      'hdlType' => 'std_logic',
1405
      'width' => 1,
1406
    },
1407
    'sysgen_dut.to_register9_clk' => {
1408
      'hdlType' => 'std_logic',
1409
      'width' => 1,
1410
    },
1411
    'sysgen_dut.to_register9_clr' => {
1412
      'hdlType' => 'std_logic',
1413
      'width' => 1,
1414
    },
1415
    'sysgen_dut.to_register9_data_in' => {
1416
      'hdlType' => 'std_logic_vector(31 downto 0)',
1417
      'width' => 32,
1418
    },
1419
    'sysgen_dut.to_register9_en' => {
1420
      'hdlType' => 'std_logic',
1421
      'width' => 1,
1422
    },
1423
    'to_register1.dout' => {
1424
      'hdlType' => 'std_logic_vector(31 downto 0)',
1425
      'width' => 32,
1426
    },
1427
    'to_register10.dout' => {
1428
      'hdlType' => 'std_logic',
1429
      'width' => 1,
1430
    },
1431
    'to_register11.dout' => {
1432
      'hdlType' => 'std_logic_vector(31 downto 0)',
1433
      'width' => 32,
1434
    },
1435
    'to_register12.dout' => {
1436
      'hdlType' => 'std_logic',
1437
      'width' => 1,
1438
    },
1439
    'to_register13.dout' => {
1440
      'hdlType' => 'std_logic_vector(31 downto 0)',
1441
      'width' => 32,
1442
    },
1443
    'to_register14.dout' => {
1444
      'hdlType' => 'std_logic',
1445
      'width' => 1,
1446
    },
1447
    'to_register15.dout' => {
1448
      'hdlType' => 'std_logic_vector(31 downto 0)',
1449
      'width' => 32,
1450
    },
1451
    'to_register16.dout' => {
1452
      'hdlType' => 'std_logic',
1453
      'width' => 1,
1454
    },
1455
    'to_register17.dout' => {
1456
      'hdlType' => 'std_logic_vector(31 downto 0)',
1457
      'width' => 32,
1458
    },
1459
    'to_register18.dout' => {
1460
      'hdlType' => 'std_logic',
1461
      'width' => 1,
1462
    },
1463
    'to_register19.dout' => {
1464
      'hdlType' => 'std_logic',
1465
      'width' => 1,
1466
    },
1467
    'to_register2.dout' => {
1468
      'hdlType' => 'std_logic_vector(31 downto 0)',
1469
      'width' => 32,
1470
    },
1471
    'to_register20.dout' => {
1472
      'hdlType' => 'std_logic_vector(31 downto 0)',
1473
      'width' => 32,
1474
    },
1475
    'to_register21.dout' => {
1476
      'hdlType' => 'std_logic',
1477
      'width' => 1,
1478
    },
1479
    'to_register22.dout' => {
1480
      'hdlType' => 'std_logic_vector(31 downto 0)',
1481
      'width' => 32,
1482
    },
1483
    'to_register23.dout' => {
1484
      'hdlType' => 'std_logic',
1485
      'width' => 1,
1486
    },
1487
    'to_register24.dout' => {
1488
      'hdlType' => 'std_logic_vector(31 downto 0)',
1489
      'width' => 32,
1490
    },
1491
    'to_register25.dout' => {
1492
      'hdlType' => 'std_logic',
1493
      'width' => 1,
1494
    },
1495
    'to_register26.dout' => {
1496
      'hdlType' => 'std_logic_vector(31 downto 0)',
1497
      'width' => 32,
1498
    },
1499
    'to_register27.dout' => {
1500
      'hdlType' => 'std_logic',
1501
      'width' => 1,
1502
    },
1503
    'to_register28.dout' => {
1504
      'hdlType' => 'std_logic_vector(31 downto 0)',
1505
      'width' => 32,
1506
    },
1507
    'to_register29.dout' => {
1508
      'hdlType' => 'std_logic',
1509
      'width' => 1,
1510
    },
1511
    'to_register3.dout' => {
1512
      'hdlType' => 'std_logic',
1513
      'width' => 1,
1514
    },
1515
    'to_register30.dout' => {
1516
      'hdlType' => 'std_logic_vector(31 downto 0)',
1517
      'width' => 32,
1518
    },
1519
    'to_register31.dout' => {
1520
      'hdlType' => 'std_logic',
1521
      'width' => 1,
1522
    },
1523
    'to_register32.dout' => {
1524
      'hdlType' => 'std_logic_vector(31 downto 0)',
1525
      'width' => 32,
1526
    },
1527
    'to_register33.dout' => {
1528
      'hdlType' => 'std_logic',
1529
      'width' => 1,
1530
    },
1531
    'to_register34.dout' => {
1532
      'hdlType' => 'std_logic_vector(31 downto 0)',
1533
      'width' => 32,
1534
    },
1535
    'to_register4.dout' => {
1536
      'hdlType' => 'std_logic',
1537
      'width' => 1,
1538
    },
1539
    'to_register5.dout' => {
1540
      'hdlType' => 'std_logic_vector(31 downto 0)',
1541
      'width' => 32,
1542
    },
1543
    'to_register6.dout' => {
1544
      'hdlType' => 'std_logic_vector(31 downto 0)',
1545
      'width' => 32,
1546
    },
1547
    'to_register7.dout' => {
1548
      'hdlType' => 'std_logic_vector(31 downto 0)',
1549
      'width' => 32,
1550
    },
1551
    'to_register8.dout' => {
1552
      'hdlType' => 'std_logic',
1553
      'width' => 1,
1554
    },
1555
    'to_register9.dout' => {
1556
      'hdlType' => 'std_logic_vector(31 downto 0)',
1557
      'width' => 32,
1558
    },
1559
  },
1560
  'subblocks' => {
1561
    'debug_in_1i' => {
1562
      'connections' => {
1563
        'debug_in_1i' => '.debug_in_1i',
1564
      },
1565
      'entity' => {
1566
        'attributes' => {
1567
          'entityAlreadyNetlisted' => 1,
1568
          'isGateway' => 1,
1569
          'is_floating_block' => 1,
1570
        },
1571
        'entityName' => 'debug_in_1i',
1572
        'ports' => {
1573
          'debug_in_1i' => {
1574
            'attributes' => {
1575
              'bin_pt' => 0,
1576
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
1577
              'is_floating_block' => 1,
1578
              'is_gateway_port' => 1,
1579
              'must_be_hdl_vector' => 1,
1580
              'period' => 1,
1581
              'port_id' => 0,
1582
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i/debug_in_1i',
1583
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i',
1584
              'timingConstraint' => 'none',
1585
              'type' => 'UFix_32_0',
1586
            },
1587
            'direction' => 'out',
1588
            'hdlType' => 'std_logic_vector(31 downto 0)',
1589
            'width' => 32,
1590
          },
1591
        },
1592
      },
1593
      'entityName' => 'debug_in_1i',
1594
    },
1595
    'debug_in_2i' => {
1596
      'connections' => {
1597
        'debug_in_2i' => '.debug_in_2i',
1598
      },
1599
      'entity' => {
1600
        'attributes' => {
1601
          'entityAlreadyNetlisted' => 1,
1602
          'isGateway' => 1,
1603
          'is_floating_block' => 1,
1604
        },
1605
        'entityName' => 'debug_in_2i',
1606
        'ports' => {
1607
          'debug_in_2i' => {
1608
            'attributes' => {
1609
              'bin_pt' => 0,
1610
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
1611
              'is_floating_block' => 1,
1612
              'is_gateway_port' => 1,
1613
              'must_be_hdl_vector' => 1,
1614
              'period' => 1,
1615
              'port_id' => 0,
1616
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i/debug_in_2i',
1617
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i',
1618
              'timingConstraint' => 'none',
1619
              'type' => 'UFix_32_0',
1620
            },
1621
            'direction' => 'out',
1622
            'hdlType' => 'std_logic_vector(31 downto 0)',
1623
            'width' => 32,
1624
          },
1625
        },
1626
      },
1627
      'entityName' => 'debug_in_2i',
1628
    },
1629
    'debug_in_3i' => {
1630
      'connections' => {
1631
        'debug_in_3i' => '.debug_in_3i',
1632
      },
1633
      'entity' => {
1634
        'attributes' => {
1635
          'entityAlreadyNetlisted' => 1,
1636
          'isGateway' => 1,
1637
          'is_floating_block' => 1,
1638
        },
1639
        'entityName' => 'debug_in_3i',
1640
        'ports' => {
1641
          'debug_in_3i' => {
1642
            'attributes' => {
1643
              'bin_pt' => 0,
1644
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
1645
              'is_floating_block' => 1,
1646
              'is_gateway_port' => 1,
1647
              'must_be_hdl_vector' => 1,
1648
              'period' => 1,
1649
              'port_id' => 0,
1650
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i/debug_in_3i',
1651
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i',
1652
              'timingConstraint' => 'none',
1653
              'type' => 'UFix_32_0',
1654
            },
1655
            'direction' => 'out',
1656
            'hdlType' => 'std_logic_vector(31 downto 0)',
1657
            'width' => 32,
1658
          },
1659
        },
1660
      },
1661
      'entityName' => 'debug_in_3i',
1662
    },
1663
    'debug_in_4i' => {
1664
      'connections' => {
1665
        'debug_in_4i' => '.debug_in_4i',
1666
      },
1667
      'entity' => {
1668
        'attributes' => {
1669
          'entityAlreadyNetlisted' => 1,
1670
          'isGateway' => 1,
1671
          'is_floating_block' => 1,
1672
        },
1673
        'entityName' => 'debug_in_4i',
1674
        'ports' => {
1675
          'debug_in_4i' => {
1676
            'attributes' => {
1677
              'bin_pt' => 0,
1678
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
1679
              'is_floating_block' => 1,
1680
              'is_gateway_port' => 1,
1681
              'must_be_hdl_vector' => 1,
1682
              'period' => 1,
1683
              'port_id' => 0,
1684
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i/debug_in_4i',
1685
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i',
1686
              'timingConstraint' => 'none',
1687
              'type' => 'UFix_32_0',
1688
            },
1689
            'direction' => 'out',
1690
            'hdlType' => 'std_logic_vector(31 downto 0)',
1691
            'width' => 32,
1692
          },
1693
        },
1694
      },
1695
      'entityName' => 'debug_in_4i',
1696
    },
1697
    'dma_host2board_busy' => {
1698
      'connections' => {
1699
        'dma_host2board_busy' => '.dma_host2board_busy',
1700
      },
1701
      'entity' => {
1702
        'attributes' => {
1703
          'entityAlreadyNetlisted' => 1,
1704
          'isGateway' => 1,
1705
          'is_floating_block' => 1,
1706
        },
1707
        'entityName' => 'dma_host2board_busy',
1708
        'ports' => {
1709
          'dma_host2board_busy' => {
1710
            'attributes' => {
1711
              'bin_pt' => 0,
1712
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
1713
              'is_floating_block' => 1,
1714
              'is_gateway_port' => 1,
1715
              'must_be_hdl_vector' => 1,
1716
              'period' => 1,
1717
              'port_id' => 0,
1718
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy/DMA_Host2Board_Busy',
1719
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy',
1720
              'timingConstraint' => 'none',
1721
              'type' => 'UFix_1_0',
1722
            },
1723
            'direction' => 'out',
1724
            'hdlType' => 'std_logic',
1725
            'width' => 1,
1726
          },
1727
        },
1728
      },
1729
      'entityName' => 'dma_host2board_busy',
1730
    },
1731
    'dma_host2board_done' => {
1732
      'connections' => {
1733
        'dma_host2board_done' => '.dma_host2board_done',
1734
      },
1735
      'entity' => {
1736
        'attributes' => {
1737
          'entityAlreadyNetlisted' => 1,
1738
          'isGateway' => 1,
1739
          'is_floating_block' => 1,
1740
        },
1741
        'entityName' => 'dma_host2board_done',
1742
        'ports' => {
1743
          'dma_host2board_done' => {
1744
            'attributes' => {
1745
              'bin_pt' => 0,
1746
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
1747
              'is_floating_block' => 1,
1748
              'is_gateway_port' => 1,
1749
              'must_be_hdl_vector' => 1,
1750
              'period' => 1,
1751
              'port_id' => 0,
1752
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done/DMA_Host2Board_Done',
1753
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done',
1754
              'timingConstraint' => 'none',
1755
              'type' => 'UFix_1_0',
1756
            },
1757
            'direction' => 'out',
1758
            'hdlType' => 'std_logic',
1759
            'width' => 1,
1760
          },
1761
        },
1762
      },
1763
      'entityName' => 'dma_host2board_done',
1764
    },
1765
    'from_register1' => {
1766
      'connections' => {
1767
        'data_out' => 'from_register1.data_out',
1768
      },
1769
      'entity' => {
1770
        'attributes' => {
1771
          'entityAlreadyNetlisted' => 1,
1772
          'generics' => [
1773
          ],
1774
          'is_floating_block' => 1,
1775
          'mask' => {
1776
            'Block_Handle' => 2090.00048828125,
1777
            'Block_handle' => 2090.00048828125,
1778
            'MDL_Handle' => 2083.00048828125,
1779
            'MDL_handle' => 2083.00048828125,
1780
            'arith_type' => 2,
1781
            'bin_pt' => 0,
1782
            'block_config' => 'sysgen_blockset:fromreg_config',
1783
            'block_handle' => 2090.00048828125,
1784
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1785
            'block_type' => 'fromreg',
1786
            'dbl_ovrd' => 0,
1787
            'gui_display_data_type' => 1,
1788
            'init' => 0,
1789
            'init_bit_vector' => '\'b0',
1790
            'mdl_handle' => 2083.00048828125,
1791
            'model_handle' => 2083.00048828125,
1792
            'n_bits' => 1,
1793
            'ownership' => 2,
1794
            'period' => '8e-009',
1795
            'preci_type' => 1,
1796
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1797
            'shared_memory_name' => 'register01rv',
1798
          },
1799
          'needs_vhdl_wrapper' => 0,
1800
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1',
1801
        },
1802
        'entityName' => 'x_x61',
1803
        'ports' => {
1804
          'data_out' => {
1805
            'attributes' => {
1806
              'bin_pt' => 0,
1807
              'is_floating_block' => 1,
1808
              'must_be_hdl_vector' => 1,
1809
              'period' => 1,
1810
              'port_id' => 0,
1811
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1/data_out',
1812
              'type' => 'UFix_1_0',
1813
            },
1814
            'direction' => 'out',
1815
            'hdlType' => 'std_logic_vector(0 downto 0)',
1816
            'width' => 1,
1817
          },
1818
        },
1819
      },
1820
      'entityName' => 'x_x61',
1821
    },
1822
    'from_register10' => {
1823
      'connections' => {
1824
        'data_out' => 'from_register10.data_out',
1825
      },
1826
      'entity' => {
1827
        'attributes' => {
1828
          'entityAlreadyNetlisted' => 1,
1829
          'generics' => [
1830
          ],
1831
          'is_floating_block' => 1,
1832
          'mask' => {
1833
            'Block_Handle' => 2091.00048828125,
1834
            'Block_handle' => 2091.00048828125,
1835
            'MDL_Handle' => 2083.00048828125,
1836
            'MDL_handle' => 2083.00048828125,
1837
            'arith_type' => 2,
1838
            'bin_pt' => 0,
1839
            'block_config' => 'sysgen_blockset:fromreg_config',
1840
            'block_handle' => 2091.00048828125,
1841
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1842
            'block_type' => 'fromreg',
1843
            'dbl_ovrd' => 0,
1844
            'gui_display_data_type' => 1,
1845
            'init' => 0,
1846
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1847
            'mdl_handle' => 2083.00048828125,
1848
            'model_handle' => 2083.00048828125,
1849
            'n_bits' => 32,
1850
            'ownership' => 2,
1851
            'period' => '8e-009',
1852
            'preci_type' => 1,
1853
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1854
            'shared_memory_name' => 'register05rd',
1855
          },
1856
          'needs_vhdl_wrapper' => 0,
1857
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10',
1858
        },
1859
        'entityName' => 'x_x62',
1860
        'ports' => {
1861
          'data_out' => {
1862
            'attributes' => {
1863
              'bin_pt' => 0,
1864
              'is_floating_block' => 1,
1865
              'must_be_hdl_vector' => 1,
1866
              'period' => 1,
1867
              'port_id' => 0,
1868
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10/data_out',
1869
              'type' => 'UFix_32_0',
1870
            },
1871
            'direction' => 'out',
1872
            'hdlType' => 'std_logic_vector(31 downto 0)',
1873
            'width' => 32,
1874
          },
1875
        },
1876
      },
1877
      'entityName' => 'x_x62',
1878
    },
1879
    'from_register11' => {
1880
      'connections' => {
1881
        'data_out' => 'from_register11.data_out',
1882
      },
1883
      'entity' => {
1884
        'attributes' => {
1885
          'entityAlreadyNetlisted' => 1,
1886
          'generics' => [
1887
          ],
1888
          'is_floating_block' => 1,
1889
          'mask' => {
1890
            'Block_Handle' => 2092.00048828125,
1891
            'Block_handle' => 2092.00048828125,
1892
            'MDL_Handle' => 2083.00048828125,
1893
            'MDL_handle' => 2083.00048828125,
1894
            'arith_type' => 2,
1895
            'bin_pt' => 0,
1896
            'block_config' => 'sysgen_blockset:fromreg_config',
1897
            'block_handle' => 2092.00048828125,
1898
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1899
            'block_type' => 'fromreg',
1900
            'dbl_ovrd' => 0,
1901
            'gui_display_data_type' => 1,
1902
            'init' => 0,
1903
            'init_bit_vector' => '\'b00000000000000000000000000000000',
1904
            'mdl_handle' => 2083.00048828125,
1905
            'model_handle' => 2083.00048828125,
1906
            'n_bits' => 32,
1907
            'ownership' => 2,
1908
            'period' => '8e-009',
1909
            'preci_type' => 1,
1910
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1911
            'shared_memory_name' => 'register06rd',
1912
          },
1913
          'needs_vhdl_wrapper' => 0,
1914
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11',
1915
        },
1916
        'entityName' => 'x_x63',
1917
        'ports' => {
1918
          'data_out' => {
1919
            'attributes' => {
1920
              'bin_pt' => 0,
1921
              'is_floating_block' => 1,
1922
              'must_be_hdl_vector' => 1,
1923
              'period' => 1,
1924
              'port_id' => 0,
1925
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11/data_out',
1926
              'type' => 'UFix_32_0',
1927
            },
1928
            'direction' => 'out',
1929
            'hdlType' => 'std_logic_vector(31 downto 0)',
1930
            'width' => 32,
1931
          },
1932
        },
1933
      },
1934
      'entityName' => 'x_x63',
1935
    },
1936
    'from_register12' => {
1937
      'connections' => {
1938
        'data_out' => 'from_register12.data_out',
1939
      },
1940
      'entity' => {
1941
        'attributes' => {
1942
          'entityAlreadyNetlisted' => 1,
1943
          'generics' => [
1944
          ],
1945
          'is_floating_block' => 1,
1946
          'mask' => {
1947
            'Block_Handle' => 2093.00048828125,
1948
            'Block_handle' => 2093.00048828125,
1949
            'MDL_Handle' => 2083.00048828125,
1950
            'MDL_handle' => 2083.00048828125,
1951
            'arith_type' => 2,
1952
            'bin_pt' => 0,
1953
            'block_config' => 'sysgen_blockset:fromreg_config',
1954
            'block_handle' => 2093.00048828125,
1955
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1956
            'block_type' => 'fromreg',
1957
            'dbl_ovrd' => 0,
1958
            'gui_display_data_type' => 1,
1959
            'init' => 0,
1960
            'init_bit_vector' => '\'b0',
1961
            'mdl_handle' => 2083.00048828125,
1962
            'model_handle' => 2083.00048828125,
1963
            'n_bits' => 1,
1964
            'ownership' => 2,
1965
            'period' => '8e-009',
1966
            'preci_type' => 1,
1967
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
1968
            'shared_memory_name' => 'register06rv',
1969
          },
1970
          'needs_vhdl_wrapper' => 0,
1971
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12',
1972
        },
1973
        'entityName' => 'x_x64',
1974
        'ports' => {
1975
          'data_out' => {
1976
            'attributes' => {
1977
              'bin_pt' => 0,
1978
              'is_floating_block' => 1,
1979
              'must_be_hdl_vector' => 1,
1980
              'period' => 1,
1981
              'port_id' => 0,
1982
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12/data_out',
1983
              'type' => 'UFix_1_0',
1984
            },
1985
            'direction' => 'out',
1986
            'hdlType' => 'std_logic_vector(0 downto 0)',
1987
            'width' => 1,
1988
          },
1989
        },
1990
      },
1991
      'entityName' => 'x_x64',
1992
    },
1993
    'from_register13' => {
1994
      'connections' => {
1995
        'data_out' => 'from_register13.data_out',
1996
      },
1997
      'entity' => {
1998
        'attributes' => {
1999
          'entityAlreadyNetlisted' => 1,
2000
          'generics' => [
2001
          ],
2002
          'is_floating_block' => 1,
2003
          'mask' => {
2004
            'Block_Handle' => 2094.00048828125,
2005
            'Block_handle' => 2094.00048828125,
2006
            'MDL_Handle' => 2083.00048828125,
2007
            'MDL_handle' => 2083.00048828125,
2008
            'arith_type' => 2,
2009
            'bin_pt' => 0,
2010
            'block_config' => 'sysgen_blockset:fromreg_config',
2011
            'block_handle' => 2094.00048828125,
2012
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
2013
            'block_type' => 'fromreg',
2014
            'dbl_ovrd' => 0,
2015
            'gui_display_data_type' => 1,
2016
            'init' => 0,
2017
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2018
            'mdl_handle' => 2083.00048828125,
2019
            'model_handle' => 2083.00048828125,
2020
            'n_bits' => 32,
2021
            'ownership' => 2,
2022
            'period' => '8e-009',
2023
            'preci_type' => 1,
2024
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2025
            'shared_memory_name' => 'register07rd',
2026
          },
2027
          'needs_vhdl_wrapper' => 0,
2028
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13',
2029
        },
2030
        'entityName' => 'x_x65',
2031
        'ports' => {
2032
          'data_out' => {
2033
            'attributes' => {
2034
              'bin_pt' => 0,
2035
              'is_floating_block' => 1,
2036
              'must_be_hdl_vector' => 1,
2037
              'period' => 1,
2038
              'port_id' => 0,
2039
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13/data_out',
2040
              'type' => 'UFix_32_0',
2041
            },
2042
            'direction' => 'out',
2043
            'hdlType' => 'std_logic_vector(31 downto 0)',
2044
            'width' => 32,
2045
          },
2046
        },
2047
      },
2048
      'entityName' => 'x_x65',
2049
    },
2050
    'from_register14' => {
2051
      'connections' => {
2052
        'data_out' => 'from_register14.data_out',
2053
      },
2054
      'entity' => {
2055
        'attributes' => {
2056
          'entityAlreadyNetlisted' => 1,
2057
          'generics' => [
2058
          ],
2059
          'is_floating_block' => 1,
2060
          'mask' => {
2061
            'Block_Handle' => 2095.00048828125,
2062
            'Block_handle' => 2095.00048828125,
2063
            'MDL_Handle' => 2083.00048828125,
2064
            'MDL_handle' => 2083.00048828125,
2065
            'arith_type' => 2,
2066
            'bin_pt' => 0,
2067
            'block_config' => 'sysgen_blockset:fromreg_config',
2068
            'block_handle' => 2095.00048828125,
2069
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
2070
            'block_type' => 'fromreg',
2071
            'dbl_ovrd' => 0,
2072
            'gui_display_data_type' => 1,
2073
            'init' => 0,
2074
            'init_bit_vector' => '\'b0',
2075
            'mdl_handle' => 2083.00048828125,
2076
            'model_handle' => 2083.00048828125,
2077
            'n_bits' => 1,
2078
            'ownership' => 2,
2079
            'period' => '8e-009',
2080
            'preci_type' => 1,
2081
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2082
            'shared_memory_name' => 'register07rv',
2083
          },
2084
          'needs_vhdl_wrapper' => 0,
2085
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14',
2086
        },
2087
        'entityName' => 'x_x66',
2088
        'ports' => {
2089
          'data_out' => {
2090
            'attributes' => {
2091
              'bin_pt' => 0,
2092
              'is_floating_block' => 1,
2093
              'must_be_hdl_vector' => 1,
2094
              'period' => 1,
2095
              'port_id' => 0,
2096
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14/data_out',
2097
              'type' => 'UFix_1_0',
2098
            },
2099
            'direction' => 'out',
2100
            'hdlType' => 'std_logic_vector(0 downto 0)',
2101
            'width' => 1,
2102
          },
2103
        },
2104
      },
2105
      'entityName' => 'x_x66',
2106
    },
2107
    'from_register15' => {
2108
      'connections' => {
2109
        'data_out' => 'from_register15.data_out',
2110
      },
2111
      'entity' => {
2112
        'attributes' => {
2113
          'entityAlreadyNetlisted' => 1,
2114
          'generics' => [
2115
          ],
2116
          'is_floating_block' => 1,
2117
          'mask' => {
2118
            'Block_Handle' => 2096.00048828125,
2119
            'Block_handle' => 2096.00048828125,
2120
            'MDL_Handle' => 2083.00048828125,
2121
            'MDL_handle' => 2083.00048828125,
2122
            'arith_type' => 2,
2123
            'bin_pt' => 0,
2124
            'block_config' => 'sysgen_blockset:fromreg_config',
2125
            'block_handle' => 2096.00048828125,
2126
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
2127
            'block_type' => 'fromreg',
2128
            'dbl_ovrd' => 0,
2129
            'gui_display_data_type' => 1,
2130
            'init' => 0,
2131
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2132
            'mdl_handle' => 2083.00048828125,
2133
            'model_handle' => 2083.00048828125,
2134
            'n_bits' => 32,
2135
            'ownership' => 2,
2136
            'period' => '8e-009',
2137
            'preci_type' => 1,
2138
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2139
            'shared_memory_name' => 'register08rd',
2140
          },
2141
          'needs_vhdl_wrapper' => 0,
2142
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15',
2143
        },
2144
        'entityName' => 'x_x67',
2145
        'ports' => {
2146
          'data_out' => {
2147
            'attributes' => {
2148
              'bin_pt' => 0,
2149
              'is_floating_block' => 1,
2150
              'must_be_hdl_vector' => 1,
2151
              'period' => 1,
2152
              'port_id' => 0,
2153
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15/data_out',
2154
              'type' => 'UFix_32_0',
2155
            },
2156
            'direction' => 'out',
2157
            'hdlType' => 'std_logic_vector(31 downto 0)',
2158
            'width' => 32,
2159
          },
2160
        },
2161
      },
2162
      'entityName' => 'x_x67',
2163
    },
2164
    'from_register16' => {
2165
      'connections' => {
2166
        'data_out' => 'from_register16.data_out',
2167
      },
2168
      'entity' => {
2169
        'attributes' => {
2170
          'entityAlreadyNetlisted' => 1,
2171
          'generics' => [
2172
          ],
2173
          'is_floating_block' => 1,
2174
          'mask' => {
2175
            'Block_Handle' => 2097.00048828125,
2176
            'Block_handle' => 2097.00048828125,
2177
            'MDL_Handle' => 2083.00048828125,
2178
            'MDL_handle' => 2083.00048828125,
2179
            'arith_type' => 2,
2180
            'bin_pt' => 0,
2181
            'block_config' => 'sysgen_blockset:fromreg_config',
2182
            'block_handle' => 2097.00048828125,
2183
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
2184
            'block_type' => 'fromreg',
2185
            'dbl_ovrd' => 0,
2186
            'gui_display_data_type' => 1,
2187
            'init' => 0,
2188
            'init_bit_vector' => '\'b0',
2189
            'mdl_handle' => 2083.00048828125,
2190
            'model_handle' => 2083.00048828125,
2191
            'n_bits' => 1,
2192
            'ownership' => 2,
2193
            'period' => '8e-009',
2194
            'preci_type' => 1,
2195
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2196
            'shared_memory_name' => 'register08rv',
2197
          },
2198
          'needs_vhdl_wrapper' => 0,
2199
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16',
2200
        },
2201
        'entityName' => 'x_x68',
2202
        'ports' => {
2203
          'data_out' => {
2204
            'attributes' => {
2205
              'bin_pt' => 0,
2206
              'is_floating_block' => 1,
2207
              'must_be_hdl_vector' => 1,
2208
              'period' => 1,
2209
              'port_id' => 0,
2210
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16/data_out',
2211
              'type' => 'UFix_1_0',
2212
            },
2213
            'direction' => 'out',
2214
            'hdlType' => 'std_logic_vector(0 downto 0)',
2215
            'width' => 1,
2216
          },
2217
        },
2218
      },
2219
      'entityName' => 'x_x68',
2220
    },
2221
    'from_register17' => {
2222
      'connections' => {
2223
        'data_out' => 'from_register17.data_out',
2224
      },
2225
      'entity' => {
2226
        'attributes' => {
2227
          'entityAlreadyNetlisted' => 1,
2228
          'generics' => [
2229
          ],
2230
          'is_floating_block' => 1,
2231
          'mask' => {
2232
            'Block_Handle' => 2098.00048828125,
2233
            'Block_handle' => 2098.00048828125,
2234
            'MDL_Handle' => 2083.00048828125,
2235
            'MDL_handle' => 2083.00048828125,
2236
            'arith_type' => 2,
2237
            'bin_pt' => 0,
2238
            'block_config' => 'sysgen_blockset:fromreg_config',
2239
            'block_handle' => 2098.00048828125,
2240
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
2241
            'block_type' => 'fromreg',
2242
            'dbl_ovrd' => 0,
2243
            'gui_display_data_type' => 1,
2244
            'init' => 0,
2245
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2246
            'mdl_handle' => 2083.00048828125,
2247
            'model_handle' => 2083.00048828125,
2248
            'n_bits' => 32,
2249
            'ownership' => 2,
2250
            'period' => '8e-009',
2251
            'preci_type' => 1,
2252
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2253
            'shared_memory_name' => 'register09rd',
2254
          },
2255
          'needs_vhdl_wrapper' => 0,
2256
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17',
2257
        },
2258
        'entityName' => 'x_x69',
2259
        'ports' => {
2260
          'data_out' => {
2261
            'attributes' => {
2262
              'bin_pt' => 0,
2263
              'is_floating_block' => 1,
2264
              'must_be_hdl_vector' => 1,
2265
              'period' => 1,
2266
              'port_id' => 0,
2267
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17/data_out',
2268
              'type' => 'UFix_32_0',
2269
            },
2270
            'direction' => 'out',
2271
            'hdlType' => 'std_logic_vector(31 downto 0)',
2272
            'width' => 32,
2273
          },
2274
        },
2275
      },
2276
      'entityName' => 'x_x69',
2277
    },
2278
    'from_register18' => {
2279
      'connections' => {
2280
        'data_out' => 'from_register18.data_out',
2281
      },
2282
      'entity' => {
2283
        'attributes' => {
2284
          'entityAlreadyNetlisted' => 1,
2285
          'generics' => [
2286
          ],
2287
          'is_floating_block' => 1,
2288
          'mask' => {
2289
            'Block_Handle' => 2099.00048828125,
2290
            'Block_handle' => 2099.00048828125,
2291
            'MDL_Handle' => 2083.00048828125,
2292
            'MDL_handle' => 2083.00048828125,
2293
            'arith_type' => 2,
2294
            'bin_pt' => 0,
2295
            'block_config' => 'sysgen_blockset:fromreg_config',
2296
            'block_handle' => 2099.00048828125,
2297
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
2298
            'block_type' => 'fromreg',
2299
            'dbl_ovrd' => 0,
2300
            'gui_display_data_type' => 1,
2301
            'init' => 0,
2302
            'init_bit_vector' => '\'b0',
2303
            'mdl_handle' => 2083.00048828125,
2304
            'model_handle' => 2083.00048828125,
2305
            'n_bits' => 1,
2306
            'ownership' => 2,
2307
            'period' => '8e-009',
2308
            'preci_type' => 1,
2309
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2310
            'shared_memory_name' => 'register09rv',
2311
          },
2312
          'needs_vhdl_wrapper' => 0,
2313
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18',
2314
        },
2315
        'entityName' => 'x_x70',
2316
        'ports' => {
2317
          'data_out' => {
2318
            'attributes' => {
2319
              'bin_pt' => 0,
2320
              'is_floating_block' => 1,
2321
              'must_be_hdl_vector' => 1,
2322
              'period' => 1,
2323
              'port_id' => 0,
2324
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18/data_out',
2325
              'type' => 'UFix_1_0',
2326
            },
2327
            'direction' => 'out',
2328
            'hdlType' => 'std_logic_vector(0 downto 0)',
2329
            'width' => 1,
2330
          },
2331
        },
2332
      },
2333
      'entityName' => 'x_x70',
2334
    },
2335
    'from_register19' => {
2336
      'connections' => {
2337
        'data_out' => 'from_register19.data_out',
2338
      },
2339
      'entity' => {
2340
        'attributes' => {
2341
          'entityAlreadyNetlisted' => 1,
2342
          'generics' => [
2343
          ],
2344
          'is_floating_block' => 1,
2345
          'mask' => {
2346
            'Block_Handle' => 2100.00048828125,
2347
            'Block_handle' => 2100.00048828125,
2348
            'MDL_Handle' => 2083.00048828125,
2349
            'MDL_handle' => 2083.00048828125,
2350
            'arith_type' => 2,
2351
            'bin_pt' => 0,
2352
            'block_config' => 'sysgen_blockset:fromreg_config',
2353
            'block_handle' => 2100.00048828125,
2354
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
2355
            'block_type' => 'fromreg',
2356
            'dbl_ovrd' => 0,
2357
            'gui_display_data_type' => 1,
2358
            'init' => 0,
2359
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2360
            'mdl_handle' => 2083.00048828125,
2361
            'model_handle' => 2083.00048828125,
2362
            'n_bits' => 32,
2363
            'ownership' => 2,
2364
            'period' => '8e-009',
2365
            'preci_type' => 1,
2366
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2367
            'shared_memory_name' => 'register10rd',
2368
          },
2369
          'needs_vhdl_wrapper' => 0,
2370
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19',
2371
        },
2372
        'entityName' => 'x_x71',
2373
        'ports' => {
2374
          'data_out' => {
2375
            'attributes' => {
2376
              'bin_pt' => 0,
2377
              'is_floating_block' => 1,
2378
              'must_be_hdl_vector' => 1,
2379
              'period' => 1,
2380
              'port_id' => 0,
2381
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19/data_out',
2382
              'type' => 'UFix_32_0',
2383
            },
2384
            'direction' => 'out',
2385
            'hdlType' => 'std_logic_vector(31 downto 0)',
2386
            'width' => 32,
2387
          },
2388
        },
2389
      },
2390
      'entityName' => 'x_x71',
2391
    },
2392
    'from_register2' => {
2393
      'connections' => {
2394
        'data_out' => 'from_register2.data_out',
2395
      },
2396
      'entity' => {
2397
        'attributes' => {
2398
          'entityAlreadyNetlisted' => 1,
2399
          'generics' => [
2400
          ],
2401
          'is_floating_block' => 1,
2402
          'mask' => {
2403
            'Block_Handle' => 2101.00048828125,
2404
            'Block_handle' => 2101.00048828125,
2405
            'MDL_Handle' => 2083.00048828125,
2406
            'MDL_handle' => 2083.00048828125,
2407
            'arith_type' => 2,
2408
            'bin_pt' => 0,
2409
            'block_config' => 'sysgen_blockset:fromreg_config',
2410
            'block_handle' => 2101.00048828125,
2411
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
2412
            'block_type' => 'fromreg',
2413
            'dbl_ovrd' => 0,
2414
            'gui_display_data_type' => 1,
2415
            'init' => 0,
2416
            'init_bit_vector' => '\'b0',
2417
            'mdl_handle' => 2083.00048828125,
2418
            'model_handle' => 2083.00048828125,
2419
            'n_bits' => 1,
2420
            'ownership' => 2,
2421
            'period' => '8e-009',
2422
            'preci_type' => 1,
2423
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2424
            'shared_memory_name' => 'register02rv',
2425
          },
2426
          'needs_vhdl_wrapper' => 0,
2427
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2',
2428
        },
2429
        'entityName' => 'x_x72',
2430
        'ports' => {
2431
          'data_out' => {
2432
            'attributes' => {
2433
              'bin_pt' => 0,
2434
              'is_floating_block' => 1,
2435
              'must_be_hdl_vector' => 1,
2436
              'period' => 1,
2437
              'port_id' => 0,
2438
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2/data_out',
2439
              'type' => 'UFix_1_0',
2440
            },
2441
            'direction' => 'out',
2442
            'hdlType' => 'std_logic_vector(0 downto 0)',
2443
            'width' => 1,
2444
          },
2445
        },
2446
      },
2447
      'entityName' => 'x_x72',
2448
    },
2449
    'from_register20' => {
2450
      'connections' => {
2451
        'data_out' => 'from_register20.data_out',
2452
      },
2453
      'entity' => {
2454
        'attributes' => {
2455
          'entityAlreadyNetlisted' => 1,
2456
          'generics' => [
2457
          ],
2458
          'is_floating_block' => 1,
2459
          'mask' => {
2460
            'Block_Handle' => 2102.00048828125,
2461
            'Block_handle' => 2102.00048828125,
2462
            'MDL_Handle' => 2083.00048828125,
2463
            'MDL_handle' => 2083.00048828125,
2464
            'arith_type' => 2,
2465
            'bin_pt' => 0,
2466
            'block_config' => 'sysgen_blockset:fromreg_config',
2467
            'block_handle' => 2102.00048828125,
2468
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
2469
            'block_type' => 'fromreg',
2470
            'dbl_ovrd' => 0,
2471
            'gui_display_data_type' => 1,
2472
            'init' => 0,
2473
            'init_bit_vector' => '\'b0',
2474
            'mdl_handle' => 2083.00048828125,
2475
            'model_handle' => 2083.00048828125,
2476
            'n_bits' => 1,
2477
            'ownership' => 2,
2478
            'period' => '8e-009',
2479
            'preci_type' => 1,
2480
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2481
            'shared_memory_name' => 'register10rv',
2482
          },
2483
          'needs_vhdl_wrapper' => 0,
2484
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20',
2485
        },
2486
        'entityName' => 'x_x73',
2487
        'ports' => {
2488
          'data_out' => {
2489
            'attributes' => {
2490
              'bin_pt' => 0,
2491
              'is_floating_block' => 1,
2492
              'must_be_hdl_vector' => 1,
2493
              'period' => 1,
2494
              'port_id' => 0,
2495
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20/data_out',
2496
              'type' => 'UFix_1_0',
2497
            },
2498
            'direction' => 'out',
2499
            'hdlType' => 'std_logic_vector(0 downto 0)',
2500
            'width' => 1,
2501
          },
2502
        },
2503
      },
2504
      'entityName' => 'x_x73',
2505
    },
2506
    'from_register21' => {
2507
      'connections' => {
2508
        'data_out' => 'from_register21.data_out',
2509
      },
2510
      'entity' => {
2511
        'attributes' => {
2512
          'entityAlreadyNetlisted' => 1,
2513
          'generics' => [
2514
          ],
2515
          'is_floating_block' => 1,
2516
          'mask' => {
2517
            'Block_Handle' => 2103.00048828125,
2518
            'Block_handle' => 2103.00048828125,
2519
            'MDL_Handle' => 2083.00048828125,
2520
            'MDL_handle' => 2083.00048828125,
2521
            'arith_type' => 2,
2522
            'bin_pt' => 0,
2523
            'block_config' => 'sysgen_blockset:fromreg_config',
2524
            'block_handle' => 2103.00048828125,
2525
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2526
            'block_type' => 'fromreg',
2527
            'dbl_ovrd' => 0,
2528
            'gui_display_data_type' => 1,
2529
            'init' => 0,
2530
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2531
            'mdl_handle' => 2083.00048828125,
2532
            'model_handle' => 2083.00048828125,
2533
            'n_bits' => 32,
2534
            'ownership' => 2,
2535
            'period' => '8e-009',
2536
            'preci_type' => 1,
2537
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2538
            'shared_memory_name' => 'register11rd',
2539
          },
2540
          'needs_vhdl_wrapper' => 0,
2541
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21',
2542
        },
2543
        'entityName' => 'x_x74',
2544
        'ports' => {
2545
          'data_out' => {
2546
            'attributes' => {
2547
              'bin_pt' => 0,
2548
              'is_floating_block' => 1,
2549
              'must_be_hdl_vector' => 1,
2550
              'period' => 1,
2551
              'port_id' => 0,
2552
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21/data_out',
2553
              'type' => 'UFix_32_0',
2554
            },
2555
            'direction' => 'out',
2556
            'hdlType' => 'std_logic_vector(31 downto 0)',
2557
            'width' => 32,
2558
          },
2559
        },
2560
      },
2561
      'entityName' => 'x_x74',
2562
    },
2563
    'from_register22' => {
2564
      'connections' => {
2565
        'data_out' => 'from_register22.data_out',
2566
      },
2567
      'entity' => {
2568
        'attributes' => {
2569
          'entityAlreadyNetlisted' => 1,
2570
          'generics' => [
2571
          ],
2572
          'is_floating_block' => 1,
2573
          'mask' => {
2574
            'Block_Handle' => 2104.00048828125,
2575
            'Block_handle' => 2104.00048828125,
2576
            'MDL_Handle' => 2083.00048828125,
2577
            'MDL_handle' => 2083.00048828125,
2578
            'arith_type' => 2,
2579
            'bin_pt' => 0,
2580
            'block_config' => 'sysgen_blockset:fromreg_config',
2581
            'block_handle' => 2104.00048828125,
2582
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2583
            'block_type' => 'fromreg',
2584
            'dbl_ovrd' => 0,
2585
            'gui_display_data_type' => 1,
2586
            'init' => 0,
2587
            'init_bit_vector' => '\'b0',
2588
            'mdl_handle' => 2083.00048828125,
2589
            'model_handle' => 2083.00048828125,
2590
            'n_bits' => 1,
2591
            'ownership' => 2,
2592
            'period' => '8e-009',
2593
            'preci_type' => 1,
2594
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2595
            'shared_memory_name' => 'register11rv',
2596
          },
2597
          'needs_vhdl_wrapper' => 0,
2598
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22',
2599
        },
2600
        'entityName' => 'x_x75',
2601
        'ports' => {
2602
          'data_out' => {
2603
            'attributes' => {
2604
              'bin_pt' => 0,
2605
              'is_floating_block' => 1,
2606
              'must_be_hdl_vector' => 1,
2607
              'period' => 1,
2608
              'port_id' => 0,
2609
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22/data_out',
2610
              'type' => 'UFix_1_0',
2611
            },
2612
            'direction' => 'out',
2613
            'hdlType' => 'std_logic_vector(0 downto 0)',
2614
            'width' => 1,
2615
          },
2616
        },
2617
      },
2618
      'entityName' => 'x_x75',
2619
    },
2620
    'from_register23' => {
2621
      'connections' => {
2622
        'data_out' => 'from_register23.data_out',
2623
      },
2624
      'entity' => {
2625
        'attributes' => {
2626
          'entityAlreadyNetlisted' => 1,
2627
          'generics' => [
2628
          ],
2629
          'is_floating_block' => 1,
2630
          'mask' => {
2631
            'Block_Handle' => 2105.00048828125,
2632
            'Block_handle' => 2105.00048828125,
2633
            'MDL_Handle' => 2083.00048828125,
2634
            'MDL_handle' => 2083.00048828125,
2635
            'arith_type' => 2,
2636
            'bin_pt' => 0,
2637
            'block_config' => 'sysgen_blockset:fromreg_config',
2638
            'block_handle' => 2105.00048828125,
2639
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2640
            'block_type' => 'fromreg',
2641
            'dbl_ovrd' => 0,
2642
            'gui_display_data_type' => 1,
2643
            'init' => 0,
2644
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2645
            'mdl_handle' => 2083.00048828125,
2646
            'model_handle' => 2083.00048828125,
2647
            'n_bits' => 32,
2648
            'ownership' => 2,
2649
            'period' => '8e-009',
2650
            'preci_type' => 1,
2651
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2652
            'shared_memory_name' => 'register12rd',
2653
          },
2654
          'needs_vhdl_wrapper' => 0,
2655
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23',
2656
        },
2657
        'entityName' => 'x_x76',
2658
        'ports' => {
2659
          'data_out' => {
2660
            'attributes' => {
2661
              'bin_pt' => 0,
2662
              'is_floating_block' => 1,
2663
              'must_be_hdl_vector' => 1,
2664
              'period' => 1,
2665
              'port_id' => 0,
2666
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23/data_out',
2667
              'type' => 'UFix_32_0',
2668
            },
2669
            'direction' => 'out',
2670
            'hdlType' => 'std_logic_vector(31 downto 0)',
2671
            'width' => 32,
2672
          },
2673
        },
2674
      },
2675
      'entityName' => 'x_x76',
2676
    },
2677
    'from_register24' => {
2678
      'connections' => {
2679
        'data_out' => 'from_register24.data_out',
2680
      },
2681
      'entity' => {
2682
        'attributes' => {
2683
          'entityAlreadyNetlisted' => 1,
2684
          'generics' => [
2685
          ],
2686
          'is_floating_block' => 1,
2687
          'mask' => {
2688
            'Block_Handle' => 2106.00048828125,
2689
            'Block_handle' => 2106.00048828125,
2690
            'MDL_Handle' => 2083.00048828125,
2691
            'MDL_handle' => 2083.00048828125,
2692
            'arith_type' => 2,
2693
            'bin_pt' => 0,
2694
            'block_config' => 'sysgen_blockset:fromreg_config',
2695
            'block_handle' => 2106.00048828125,
2696
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2697
            'block_type' => 'fromreg',
2698
            'dbl_ovrd' => 0,
2699
            'gui_display_data_type' => 1,
2700
            'init' => 0,
2701
            'init_bit_vector' => '\'b0',
2702
            'mdl_handle' => 2083.00048828125,
2703
            'model_handle' => 2083.00048828125,
2704
            'n_bits' => 1,
2705
            'ownership' => 2,
2706
            'period' => '8e-009',
2707
            'preci_type' => 1,
2708
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2709
            'shared_memory_name' => 'register12rv',
2710
          },
2711
          'needs_vhdl_wrapper' => 0,
2712
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24',
2713
        },
2714
        'entityName' => 'x_x77',
2715
        'ports' => {
2716
          'data_out' => {
2717
            'attributes' => {
2718
              'bin_pt' => 0,
2719
              'is_floating_block' => 1,
2720
              'must_be_hdl_vector' => 1,
2721
              'period' => 1,
2722
              'port_id' => 0,
2723
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24/data_out',
2724
              'type' => 'UFix_1_0',
2725
            },
2726
            'direction' => 'out',
2727
            'hdlType' => 'std_logic_vector(0 downto 0)',
2728
            'width' => 1,
2729
          },
2730
        },
2731
      },
2732
      'entityName' => 'x_x77',
2733
    },
2734
    'from_register25' => {
2735
      'connections' => {
2736
        'data_out' => 'from_register25.data_out',
2737
      },
2738
      'entity' => {
2739
        'attributes' => {
2740
          'entityAlreadyNetlisted' => 1,
2741
          'generics' => [
2742
          ],
2743
          'is_floating_block' => 1,
2744
          'mask' => {
2745
            'Block_Handle' => 2107.00048828125,
2746
            'Block_handle' => 2107.00048828125,
2747
            'MDL_Handle' => 2083.00048828125,
2748
            'MDL_handle' => 2083.00048828125,
2749
            'arith_type' => 2,
2750
            'bin_pt' => 0,
2751
            'block_config' => 'sysgen_blockset:fromreg_config',
2752
            'block_handle' => 2107.00048828125,
2753
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2754
            'block_type' => 'fromreg',
2755
            'dbl_ovrd' => 0,
2756
            'gui_display_data_type' => 1,
2757
            'init' => 0,
2758
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2759
            'mdl_handle' => 2083.00048828125,
2760
            'model_handle' => 2083.00048828125,
2761
            'n_bits' => 32,
2762
            'ownership' => 2,
2763
            'period' => '8e-009',
2764
            'preci_type' => 1,
2765
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2766
            'shared_memory_name' => 'register13rd',
2767
          },
2768
          'needs_vhdl_wrapper' => 0,
2769
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25',
2770
        },
2771
        'entityName' => 'x_x78',
2772
        'ports' => {
2773
          'data_out' => {
2774
            'attributes' => {
2775
              'bin_pt' => 0,
2776
              'is_floating_block' => 1,
2777
              'must_be_hdl_vector' => 1,
2778
              'period' => 1,
2779
              'port_id' => 0,
2780
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25/data_out',
2781
              'type' => 'UFix_32_0',
2782
            },
2783
            'direction' => 'out',
2784
            'hdlType' => 'std_logic_vector(31 downto 0)',
2785
            'width' => 32,
2786
          },
2787
        },
2788
      },
2789
      'entityName' => 'x_x78',
2790
    },
2791
    'from_register26' => {
2792
      'connections' => {
2793
        'data_out' => 'from_register26.data_out',
2794
      },
2795
      'entity' => {
2796
        'attributes' => {
2797
          'entityAlreadyNetlisted' => 1,
2798
          'generics' => [
2799
          ],
2800
          'is_floating_block' => 1,
2801
          'mask' => {
2802
            'Block_Handle' => 2108.00048828125,
2803
            'Block_handle' => 2108.00048828125,
2804
            'MDL_Handle' => 2083.00048828125,
2805
            'MDL_handle' => 2083.00048828125,
2806
            'arith_type' => 2,
2807
            'bin_pt' => 0,
2808
            'block_config' => 'sysgen_blockset:fromreg_config',
2809
            'block_handle' => 2108.00048828125,
2810
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2811
            'block_type' => 'fromreg',
2812
            'dbl_ovrd' => 0,
2813
            'gui_display_data_type' => 1,
2814
            'init' => 0,
2815
            'init_bit_vector' => '\'b0',
2816
            'mdl_handle' => 2083.00048828125,
2817
            'model_handle' => 2083.00048828125,
2818
            'n_bits' => 1,
2819
            'ownership' => 2,
2820
            'period' => '8e-009',
2821
            'preci_type' => 1,
2822
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2823
            'shared_memory_name' => 'register13rv',
2824
          },
2825
          'needs_vhdl_wrapper' => 0,
2826
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26',
2827
        },
2828
        'entityName' => 'x_x79',
2829
        'ports' => {
2830
          'data_out' => {
2831
            'attributes' => {
2832
              'bin_pt' => 0,
2833
              'is_floating_block' => 1,
2834
              'must_be_hdl_vector' => 1,
2835
              'period' => 1,
2836
              'port_id' => 0,
2837
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26/data_out',
2838
              'type' => 'UFix_1_0',
2839
            },
2840
            'direction' => 'out',
2841
            'hdlType' => 'std_logic_vector(0 downto 0)',
2842
            'width' => 1,
2843
          },
2844
        },
2845
      },
2846
      'entityName' => 'x_x79',
2847
    },
2848
    'from_register27' => {
2849
      'connections' => {
2850
        'data_out' => 'from_register27.data_out',
2851
      },
2852
      'entity' => {
2853
        'attributes' => {
2854
          'entityAlreadyNetlisted' => 1,
2855
          'generics' => [
2856
          ],
2857
          'is_floating_block' => 1,
2858
          'mask' => {
2859
            'Block_Handle' => 2109.00048828125,
2860
            'Block_handle' => 2109.00048828125,
2861
            'MDL_Handle' => 2083.00048828125,
2862
            'MDL_handle' => 2083.00048828125,
2863
            'arith_type' => 2,
2864
            'bin_pt' => 0,
2865
            'block_config' => 'sysgen_blockset:fromreg_config',
2866
            'block_handle' => 2109.00048828125,
2867
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2868
            'block_type' => 'fromreg',
2869
            'dbl_ovrd' => 0,
2870
            'gui_display_data_type' => 1,
2871
            'init' => 0,
2872
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2873
            'mdl_handle' => 2083.00048828125,
2874
            'model_handle' => 2083.00048828125,
2875
            'n_bits' => 32,
2876
            'ownership' => 2,
2877
            'period' => '8e-009',
2878
            'preci_type' => 1,
2879
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2880
            'shared_memory_name' => 'register14rd',
2881
          },
2882
          'needs_vhdl_wrapper' => 0,
2883
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27',
2884
        },
2885
        'entityName' => 'x_x80',
2886
        'ports' => {
2887
          'data_out' => {
2888
            'attributes' => {
2889
              'bin_pt' => 0,
2890
              'is_floating_block' => 1,
2891
              'must_be_hdl_vector' => 1,
2892
              'period' => 1,
2893
              'port_id' => 0,
2894
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27/data_out',
2895
              'type' => 'UFix_32_0',
2896
            },
2897
            'direction' => 'out',
2898
            'hdlType' => 'std_logic_vector(31 downto 0)',
2899
            'width' => 32,
2900
          },
2901
        },
2902
      },
2903
      'entityName' => 'x_x80',
2904
    },
2905
    'from_register28' => {
2906
      'connections' => {
2907
        'data_out' => 'from_register28.data_out',
2908
      },
2909
      'entity' => {
2910
        'attributes' => {
2911
          'entityAlreadyNetlisted' => 1,
2912
          'generics' => [
2913
          ],
2914
          'is_floating_block' => 1,
2915
          'mask' => {
2916
            'Block_Handle' => 2110.00048828125,
2917
            'Block_handle' => 2110.00048828125,
2918
            'MDL_Handle' => 2083.00048828125,
2919
            'MDL_handle' => 2083.00048828125,
2920
            'arith_type' => 2,
2921
            'bin_pt' => 0,
2922
            'block_config' => 'sysgen_blockset:fromreg_config',
2923
            'block_handle' => 2110.00048828125,
2924
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2925
            'block_type' => 'fromreg',
2926
            'dbl_ovrd' => 0,
2927
            'gui_display_data_type' => 1,
2928
            'init' => 0,
2929
            'init_bit_vector' => '\'b0',
2930
            'mdl_handle' => 2083.00048828125,
2931
            'model_handle' => 2083.00048828125,
2932
            'n_bits' => 1,
2933
            'ownership' => 2,
2934
            'period' => '8e-009',
2935
            'preci_type' => 1,
2936
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2937
            'shared_memory_name' => 'register14rv',
2938
          },
2939
          'needs_vhdl_wrapper' => 0,
2940
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28',
2941
        },
2942
        'entityName' => 'x_x81',
2943
        'ports' => {
2944
          'data_out' => {
2945
            'attributes' => {
2946
              'bin_pt' => 0,
2947
              'is_floating_block' => 1,
2948
              'must_be_hdl_vector' => 1,
2949
              'period' => 1,
2950
              'port_id' => 0,
2951
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28/data_out',
2952
              'type' => 'UFix_1_0',
2953
            },
2954
            'direction' => 'out',
2955
            'hdlType' => 'std_logic_vector(0 downto 0)',
2956
            'width' => 1,
2957
          },
2958
        },
2959
      },
2960
      'entityName' => 'x_x81',
2961
    },
2962
    'from_register3' => {
2963
      'connections' => {
2964
        'data_out' => 'from_register3.data_out',
2965
      },
2966
      'entity' => {
2967
        'attributes' => {
2968
          'entityAlreadyNetlisted' => 1,
2969
          'generics' => [
2970
          ],
2971
          'is_floating_block' => 1,
2972
          'mask' => {
2973
            'Block_Handle' => 2111.00048828125,
2974
            'Block_handle' => 2111.00048828125,
2975
            'MDL_Handle' => 2083.00048828125,
2976
            'MDL_handle' => 2083.00048828125,
2977
            'arith_type' => 2,
2978
            'bin_pt' => 0,
2979
            'block_config' => 'sysgen_blockset:fromreg_config',
2980
            'block_handle' => 2111.00048828125,
2981
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2982
            'block_type' => 'fromreg',
2983
            'dbl_ovrd' => 0,
2984
            'gui_display_data_type' => 1,
2985
            'init' => 0,
2986
            'init_bit_vector' => '\'b00000000000000000000000000000000',
2987
            'mdl_handle' => 2083.00048828125,
2988
            'model_handle' => 2083.00048828125,
2989
            'n_bits' => 32,
2990
            'ownership' => 2,
2991
            'period' => '8e-009',
2992
            'preci_type' => 1,
2993
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
2994
            'shared_memory_name' => 'register01rd',
2995
          },
2996
          'needs_vhdl_wrapper' => 0,
2997
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3',
2998
        },
2999
        'entityName' => 'x_x82',
3000
        'ports' => {
3001
          'data_out' => {
3002
            'attributes' => {
3003
              'bin_pt' => 0,
3004
              'is_floating_block' => 1,
3005
              'must_be_hdl_vector' => 1,
3006
              'period' => 1,
3007
              'port_id' => 0,
3008
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3/data_out',
3009
              'type' => 'UFix_32_0',
3010
            },
3011
            'direction' => 'out',
3012
            'hdlType' => 'std_logic_vector(31 downto 0)',
3013
            'width' => 32,
3014
          },
3015
        },
3016
      },
3017
      'entityName' => 'x_x82',
3018
    },
3019
    'from_register4' => {
3020
      'connections' => {
3021
        'data_out' => 'from_register4.data_out',
3022
      },
3023
      'entity' => {
3024
        'attributes' => {
3025
          'entityAlreadyNetlisted' => 1,
3026
          'generics' => [
3027
          ],
3028
          'is_floating_block' => 1,
3029
          'mask' => {
3030
            'Block_Handle' => 2112.00048828125,
3031
            'Block_handle' => 2112.00048828125,
3032
            'MDL_Handle' => 2083.00048828125,
3033
            'MDL_handle' => 2083.00048828125,
3034
            'arith_type' => 2,
3035
            'bin_pt' => 0,
3036
            'block_config' => 'sysgen_blockset:fromreg_config',
3037
            'block_handle' => 2112.00048828125,
3038
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
3039
            'block_type' => 'fromreg',
3040
            'dbl_ovrd' => 0,
3041
            'gui_display_data_type' => 1,
3042
            'init' => 0,
3043
            'init_bit_vector' => '\'b0',
3044
            'mdl_handle' => 2083.00048828125,
3045
            'model_handle' => 2083.00048828125,
3046
            'n_bits' => 1,
3047
            'ownership' => 2,
3048
            'period' => '8e-009',
3049
            'preci_type' => 1,
3050
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3051
            'shared_memory_name' => 'register04rv',
3052
          },
3053
          'needs_vhdl_wrapper' => 0,
3054
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4',
3055
        },
3056
        'entityName' => 'x_x83',
3057
        'ports' => {
3058
          'data_out' => {
3059
            'attributes' => {
3060
              'bin_pt' => 0,
3061
              'is_floating_block' => 1,
3062
              'must_be_hdl_vector' => 1,
3063
              'period' => 1,
3064
              'port_id' => 0,
3065
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4/data_out',
3066
              'type' => 'UFix_1_0',
3067
            },
3068
            'direction' => 'out',
3069
            'hdlType' => 'std_logic_vector(0 downto 0)',
3070
            'width' => 1,
3071
          },
3072
        },
3073
      },
3074
      'entityName' => 'x_x83',
3075
    },
3076
    'from_register5' => {
3077
      'connections' => {
3078
        'data_out' => 'from_register5.data_out',
3079
      },
3080
      'entity' => {
3081
        'attributes' => {
3082
          'entityAlreadyNetlisted' => 1,
3083
          'generics' => [
3084
          ],
3085
          'is_floating_block' => 1,
3086
          'mask' => {
3087
            'Block_Handle' => 2113.00048828125,
3088
            'Block_handle' => 2113.00048828125,
3089
            'MDL_Handle' => 2083.00048828125,
3090
            'MDL_handle' => 2083.00048828125,
3091
            'arith_type' => 2,
3092
            'bin_pt' => 0,
3093
            'block_config' => 'sysgen_blockset:fromreg_config',
3094
            'block_handle' => 2113.00048828125,
3095
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
3096
            'block_type' => 'fromreg',
3097
            'dbl_ovrd' => 0,
3098
            'gui_display_data_type' => 1,
3099
            'init' => 0,
3100
            'init_bit_vector' => '\'b00000000000000000000000000000000',
3101
            'mdl_handle' => 2083.00048828125,
3102
            'model_handle' => 2083.00048828125,
3103
            'n_bits' => 32,
3104
            'ownership' => 2,
3105
            'period' => '8e-009',
3106
            'preci_type' => 1,
3107
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3108
            'shared_memory_name' => 'register02rd',
3109
          },
3110
          'needs_vhdl_wrapper' => 0,
3111
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5',
3112
        },
3113
        'entityName' => 'x_x84',
3114
        'ports' => {
3115
          'data_out' => {
3116
            'attributes' => {
3117
              'bin_pt' => 0,
3118
              'is_floating_block' => 1,
3119
              'must_be_hdl_vector' => 1,
3120
              'period' => 1,
3121
              'port_id' => 0,
3122
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5/data_out',
3123
              'type' => 'UFix_32_0',
3124
            },
3125
            'direction' => 'out',
3126
            'hdlType' => 'std_logic_vector(31 downto 0)',
3127
            'width' => 32,
3128
          },
3129
        },
3130
      },
3131
      'entityName' => 'x_x84',
3132
    },
3133
    'from_register6' => {
3134
      'connections' => {
3135
        'data_out' => 'from_register6.data_out',
3136
      },
3137
      'entity' => {
3138
        'attributes' => {
3139
          'entityAlreadyNetlisted' => 1,
3140
          'generics' => [
3141
          ],
3142
          'is_floating_block' => 1,
3143
          'mask' => {
3144
            'Block_Handle' => 2114.00048828125,
3145
            'Block_handle' => 2114.00048828125,
3146
            'MDL_Handle' => 2083.00048828125,
3147
            'MDL_handle' => 2083.00048828125,
3148
            'arith_type' => 2,
3149
            'bin_pt' => 0,
3150
            'block_config' => 'sysgen_blockset:fromreg_config',
3151
            'block_handle' => 2114.00048828125,
3152
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
3153
            'block_type' => 'fromreg',
3154
            'dbl_ovrd' => 0,
3155
            'gui_display_data_type' => 1,
3156
            'init' => 0,
3157
            'init_bit_vector' => '\'b0',
3158
            'mdl_handle' => 2083.00048828125,
3159
            'model_handle' => 2083.00048828125,
3160
            'n_bits' => 1,
3161
            'ownership' => 2,
3162
            'period' => '8e-009',
3163
            'preci_type' => 1,
3164
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3165
            'shared_memory_name' => 'register03rv',
3166
          },
3167
          'needs_vhdl_wrapper' => 0,
3168
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6',
3169
        },
3170
        'entityName' => 'x_x85',
3171
        'ports' => {
3172
          'data_out' => {
3173
            'attributes' => {
3174
              'bin_pt' => 0,
3175
              'is_floating_block' => 1,
3176
              'must_be_hdl_vector' => 1,
3177
              'period' => 1,
3178
              'port_id' => 0,
3179
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6/data_out',
3180
              'type' => 'UFix_1_0',
3181
            },
3182
            'direction' => 'out',
3183
            'hdlType' => 'std_logic_vector(0 downto 0)',
3184
            'width' => 1,
3185
          },
3186
        },
3187
      },
3188
      'entityName' => 'x_x85',
3189
    },
3190
    'from_register7' => {
3191
      'connections' => {
3192
        'data_out' => 'from_register7.data_out',
3193
      },
3194
      'entity' => {
3195
        'attributes' => {
3196
          'entityAlreadyNetlisted' => 1,
3197
          'generics' => [
3198
          ],
3199
          'is_floating_block' => 1,
3200
          'mask' => {
3201
            'Block_Handle' => 2115.00048828125,
3202
            'Block_handle' => 2115.00048828125,
3203
            'MDL_Handle' => 2083.00048828125,
3204
            'MDL_handle' => 2083.00048828125,
3205
            'arith_type' => 2,
3206
            'bin_pt' => 0,
3207
            'block_config' => 'sysgen_blockset:fromreg_config',
3208
            'block_handle' => 2115.00048828125,
3209
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
3210
            'block_type' => 'fromreg',
3211
            'dbl_ovrd' => 0,
3212
            'gui_display_data_type' => 1,
3213
            'init' => 0,
3214
            'init_bit_vector' => '\'b00000000000000000000000000000000',
3215
            'mdl_handle' => 2083.00048828125,
3216
            'model_handle' => 2083.00048828125,
3217
            'n_bits' => 32,
3218
            'ownership' => 2,
3219
            'period' => '8e-009',
3220
            'preci_type' => 1,
3221
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3222
            'shared_memory_name' => 'register03rd',
3223
          },
3224
          'needs_vhdl_wrapper' => 0,
3225
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7',
3226
        },
3227
        'entityName' => 'x_x86',
3228
        'ports' => {
3229
          'data_out' => {
3230
            'attributes' => {
3231
              'bin_pt' => 0,
3232
              'is_floating_block' => 1,
3233
              'must_be_hdl_vector' => 1,
3234
              'period' => 1,
3235
              'port_id' => 0,
3236
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7/data_out',
3237
              'type' => 'UFix_32_0',
3238
            },
3239
            'direction' => 'out',
3240
            'hdlType' => 'std_logic_vector(31 downto 0)',
3241
            'width' => 32,
3242
          },
3243
        },
3244
      },
3245
      'entityName' => 'x_x86',
3246
    },
3247
    'from_register8' => {
3248
      'connections' => {
3249
        'data_out' => 'from_register8.data_out',
3250
      },
3251
      'entity' => {
3252
        'attributes' => {
3253
          'entityAlreadyNetlisted' => 1,
3254
          'generics' => [
3255
          ],
3256
          'is_floating_block' => 1,
3257
          'mask' => {
3258
            'Block_Handle' => 2116.00048828125,
3259
            'Block_handle' => 2116.00048828125,
3260
            'MDL_Handle' => 2083.00048828125,
3261
            'MDL_handle' => 2083.00048828125,
3262
            'arith_type' => 2,
3263
            'bin_pt' => 0,
3264
            'block_config' => 'sysgen_blockset:fromreg_config',
3265
            'block_handle' => 2116.00048828125,
3266
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
3267
            'block_type' => 'fromreg',
3268
            'dbl_ovrd' => 0,
3269
            'gui_display_data_type' => 1,
3270
            'init' => 0,
3271
            'init_bit_vector' => '\'b00000000000000000000000000000000',
3272
            'mdl_handle' => 2083.00048828125,
3273
            'model_handle' => 2083.00048828125,
3274
            'n_bits' => 32,
3275
            'ownership' => 2,
3276
            'period' => '8e-009',
3277
            'preci_type' => 1,
3278
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3279
            'shared_memory_name' => 'register04rd',
3280
          },
3281
          'needs_vhdl_wrapper' => 0,
3282
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8',
3283
        },
3284
        'entityName' => 'x_x87',
3285
        'ports' => {
3286
          'data_out' => {
3287
            'attributes' => {
3288
              'bin_pt' => 0,
3289
              'is_floating_block' => 1,
3290
              'must_be_hdl_vector' => 1,
3291
              'period' => 1,
3292
              'port_id' => 0,
3293
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8/data_out',
3294
              'type' => 'UFix_32_0',
3295
            },
3296
            'direction' => 'out',
3297
            'hdlType' => 'std_logic_vector(31 downto 0)',
3298
            'width' => 32,
3299
          },
3300
        },
3301
      },
3302
      'entityName' => 'x_x87',
3303
    },
3304
    'from_register9' => {
3305
      'connections' => {
3306
        'data_out' => 'from_register9.data_out',
3307
      },
3308
      'entity' => {
3309
        'attributes' => {
3310
          'entityAlreadyNetlisted' => 1,
3311
          'generics' => [
3312
          ],
3313
          'is_floating_block' => 1,
3314
          'mask' => {
3315
            'Block_Handle' => 2117.00048828125,
3316
            'Block_handle' => 2117.00048828125,
3317
            'MDL_Handle' => 2083.00048828125,
3318
            'MDL_handle' => 2083.00048828125,
3319
            'arith_type' => 2,
3320
            'bin_pt' => 0,
3321
            'block_config' => 'sysgen_blockset:fromreg_config',
3322
            'block_handle' => 2117.00048828125,
3323
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
3324
            'block_type' => 'fromreg',
3325
            'dbl_ovrd' => 0,
3326
            'gui_display_data_type' => 1,
3327
            'init' => 0,
3328
            'init_bit_vector' => '\'b0',
3329
            'mdl_handle' => 2083.00048828125,
3330
            'model_handle' => 2083.00048828125,
3331
            'n_bits' => 1,
3332
            'ownership' => 2,
3333
            'period' => '8e-009',
3334
            'preci_type' => 1,
3335
            'sg_icon_stat' => '55,22,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]',
3336
            'shared_memory_name' => 'register05rv',
3337
          },
3338
          'needs_vhdl_wrapper' => 0,
3339
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9',
3340
        },
3341
        'entityName' => 'x_x88',
3342
        'ports' => {
3343
          'data_out' => {
3344
            'attributes' => {
3345
              'bin_pt' => 0,
3346
              'is_floating_block' => 1,
3347
              'must_be_hdl_vector' => 1,
3348
              'period' => 1,
3349
              'port_id' => 0,
3350
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9/data_out',
3351
              'type' => 'UFix_1_0',
3352
            },
3353
            'direction' => 'out',
3354
            'hdlType' => 'std_logic_vector(0 downto 0)',
3355
            'width' => 1,
3356
          },
3357
        },
3358
      },
3359
      'entityName' => 'x_x88',
3360
    },
3361
    'reg01_rd' => {
3362
      'connections' => {
3363
        'reg01_rd' => 'sysgen_dut.reg01_rd',
3364
      },
3365
      'entity' => {
3366
        'attributes' => {
3367
          'entityAlreadyNetlisted' => 1,
3368
          'isGateway' => 1,
3369
          'is_floating_block' => 1,
3370
        },
3371
        'entityName' => 'reg01_rd',
3372
        'ports' => {
3373
          'reg01_rd' => {
3374
            'attributes' => {
3375
              'bin_pt' => 0,
3376
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
3377
              'is_floating_block' => 1,
3378
              'is_gateway_port' => 1,
3379
              'must_be_hdl_vector' => 1,
3380
              'period' => 1,
3381
              'port_id' => 0,
3382
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd/reg01_rd',
3383
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd',
3384
              'timingConstraint' => 'none',
3385
              'type' => 'UFix_32_0',
3386
            },
3387
            'direction' => 'in',
3388
            'hdlType' => 'std_logic_vector(31 downto 0)',
3389
            'width' => 32,
3390
          },
3391
        },
3392
      },
3393
      'entityName' => 'reg01_rd',
3394
    },
3395
    'reg01_rv' => {
3396
      'connections' => {
3397
        'reg01_rv' => 'sysgen_dut.reg01_rv',
3398
      },
3399
      'entity' => {
3400
        'attributes' => {
3401
          'entityAlreadyNetlisted' => 1,
3402
          'isGateway' => 1,
3403
          'is_floating_block' => 1,
3404
        },
3405
        'entityName' => 'reg01_rv',
3406
        'ports' => {
3407
          'reg01_rv' => {
3408
            'attributes' => {
3409
              'bin_pt' => 0,
3410
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
3411
              'is_floating_block' => 1,
3412
              'is_gateway_port' => 1,
3413
              'must_be_hdl_vector' => 1,
3414
              'period' => 1,
3415
              'port_id' => 0,
3416
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv/reg01_rv',
3417
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv',
3418
              'timingConstraint' => 'none',
3419
              'type' => 'UFix_1_0',
3420
            },
3421
            'direction' => 'in',
3422
            'hdlType' => 'std_logic',
3423
            'width' => 1,
3424
          },
3425
        },
3426
      },
3427
      'entityName' => 'reg01_rv',
3428
    },
3429
    'reg01_td' => {
3430
      'connections' => {
3431
        'reg01_td' => '.reg01_td',
3432
      },
3433
      'entity' => {
3434
        'attributes' => {
3435
          'entityAlreadyNetlisted' => 1,
3436
          'isGateway' => 1,
3437
          'is_floating_block' => 1,
3438
        },
3439
        'entityName' => 'reg01_td',
3440
        'ports' => {
3441
          'reg01_td' => {
3442
            'attributes' => {
3443
              'bin_pt' => 0,
3444
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
3445
              'is_floating_block' => 1,
3446
              'is_gateway_port' => 1,
3447
              'must_be_hdl_vector' => 1,
3448
              'period' => 1,
3449
              'port_id' => 0,
3450
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td/reg01_td',
3451
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td',
3452
              'timingConstraint' => 'none',
3453
              'type' => 'UFix_32_0',
3454
            },
3455
            'direction' => 'out',
3456
            'hdlType' => 'std_logic_vector(31 downto 0)',
3457
            'width' => 32,
3458
          },
3459
        },
3460
      },
3461
      'entityName' => 'reg01_td',
3462
    },
3463
    'reg01_tv' => {
3464
      'connections' => {
3465
        'reg01_tv' => '.reg01_tv',
3466
      },
3467
      'entity' => {
3468
        'attributes' => {
3469
          'entityAlreadyNetlisted' => 1,
3470
          'isGateway' => 1,
3471
          'is_floating_block' => 1,
3472
        },
3473
        'entityName' => 'reg01_tv',
3474
        'ports' => {
3475
          'reg01_tv' => {
3476
            'attributes' => {
3477
              'bin_pt' => 0,
3478
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
3479
              'is_floating_block' => 1,
3480
              'is_gateway_port' => 1,
3481
              'must_be_hdl_vector' => 1,
3482
              'period' => 1,
3483
              'port_id' => 0,
3484
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv/reg01_tv',
3485
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv',
3486
              'timingConstraint' => 'none',
3487
              'type' => 'Bool',
3488
            },
3489
            'direction' => 'out',
3490
            'hdlType' => 'std_logic',
3491
            'width' => 1,
3492
          },
3493
        },
3494
      },
3495
      'entityName' => 'reg01_tv',
3496
    },
3497
    'reg02_rd' => {
3498
      'connections' => {
3499
        'reg02_rd' => 'sysgen_dut.reg02_rd',
3500
      },
3501
      'entity' => {
3502
        'attributes' => {
3503
          'entityAlreadyNetlisted' => 1,
3504
          'isGateway' => 1,
3505
          'is_floating_block' => 1,
3506
        },
3507
        'entityName' => 'reg02_rd',
3508
        'ports' => {
3509
          'reg02_rd' => {
3510
            'attributes' => {
3511
              'bin_pt' => 0,
3512
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
3513
              'is_floating_block' => 1,
3514
              'is_gateway_port' => 1,
3515
              'must_be_hdl_vector' => 1,
3516
              'period' => 1,
3517
              'port_id' => 0,
3518
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd/reg02_rd',
3519
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd',
3520
              'timingConstraint' => 'none',
3521
              'type' => 'UFix_32_0',
3522
            },
3523
            'direction' => 'in',
3524
            'hdlType' => 'std_logic_vector(31 downto 0)',
3525
            'width' => 32,
3526
          },
3527
        },
3528
      },
3529
      'entityName' => 'reg02_rd',
3530
    },
3531
    'reg02_rv' => {
3532
      'connections' => {
3533
        'reg02_rv' => 'sysgen_dut.reg02_rv',
3534
      },
3535
      'entity' => {
3536
        'attributes' => {
3537
          'entityAlreadyNetlisted' => 1,
3538
          'isGateway' => 1,
3539
          'is_floating_block' => 1,
3540
        },
3541
        'entityName' => 'reg02_rv',
3542
        'ports' => {
3543
          'reg02_rv' => {
3544
            'attributes' => {
3545
              'bin_pt' => 0,
3546
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
3547
              'is_floating_block' => 1,
3548
              'is_gateway_port' => 1,
3549
              'must_be_hdl_vector' => 1,
3550
              'period' => 1,
3551
              'port_id' => 0,
3552
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv/reg02_rv',
3553
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv',
3554
              'timingConstraint' => 'none',
3555
              'type' => 'UFix_1_0',
3556
            },
3557
            'direction' => 'in',
3558
            'hdlType' => 'std_logic',
3559
            'width' => 1,
3560
          },
3561
        },
3562
      },
3563
      'entityName' => 'reg02_rv',
3564
    },
3565
    'reg02_td' => {
3566
      'connections' => {
3567
        'reg02_td' => '.reg02_td',
3568
      },
3569
      'entity' => {
3570
        'attributes' => {
3571
          'entityAlreadyNetlisted' => 1,
3572
          'isGateway' => 1,
3573
          'is_floating_block' => 1,
3574
        },
3575
        'entityName' => 'reg02_td',
3576
        'ports' => {
3577
          'reg02_td' => {
3578
            'attributes' => {
3579
              'bin_pt' => 0,
3580
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
3581
              'is_floating_block' => 1,
3582
              'is_gateway_port' => 1,
3583
              'must_be_hdl_vector' => 1,
3584
              'period' => 1,
3585
              'port_id' => 0,
3586
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td/reg02_td',
3587
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td',
3588
              'timingConstraint' => 'none',
3589
              'type' => 'UFix_32_0',
3590
            },
3591
            'direction' => 'out',
3592
            'hdlType' => 'std_logic_vector(31 downto 0)',
3593
            'width' => 32,
3594
          },
3595
        },
3596
      },
3597
      'entityName' => 'reg02_td',
3598
    },
3599
    'reg02_tv' => {
3600
      'connections' => {
3601
        'reg02_tv' => '.reg02_tv',
3602
      },
3603
      'entity' => {
3604
        'attributes' => {
3605
          'entityAlreadyNetlisted' => 1,
3606
          'isGateway' => 1,
3607
          'is_floating_block' => 1,
3608
        },
3609
        'entityName' => 'reg02_tv',
3610
        'ports' => {
3611
          'reg02_tv' => {
3612
            'attributes' => {
3613
              'bin_pt' => 0,
3614
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
3615
              'is_floating_block' => 1,
3616
              'is_gateway_port' => 1,
3617
              'must_be_hdl_vector' => 1,
3618
              'period' => 1,
3619
              'port_id' => 0,
3620
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv/reg02_tv',
3621
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv',
3622
              'timingConstraint' => 'none',
3623
              'type' => 'Bool',
3624
            },
3625
            'direction' => 'out',
3626
            'hdlType' => 'std_logic',
3627
            'width' => 1,
3628
          },
3629
        },
3630
      },
3631
      'entityName' => 'reg02_tv',
3632
    },
3633
    'reg03_rd' => {
3634
      'connections' => {
3635
        'reg03_rd' => 'sysgen_dut.reg03_rd',
3636
      },
3637
      'entity' => {
3638
        'attributes' => {
3639
          'entityAlreadyNetlisted' => 1,
3640
          'isGateway' => 1,
3641
          'is_floating_block' => 1,
3642
        },
3643
        'entityName' => 'reg03_rd',
3644
        'ports' => {
3645
          'reg03_rd' => {
3646
            'attributes' => {
3647
              'bin_pt' => 0,
3648
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
3649
              'is_floating_block' => 1,
3650
              'is_gateway_port' => 1,
3651
              'must_be_hdl_vector' => 1,
3652
              'period' => 1,
3653
              'port_id' => 0,
3654
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd/reg03_rd',
3655
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd',
3656
              'timingConstraint' => 'none',
3657
              'type' => 'UFix_32_0',
3658
            },
3659
            'direction' => 'in',
3660
            'hdlType' => 'std_logic_vector(31 downto 0)',
3661
            'width' => 32,
3662
          },
3663
        },
3664
      },
3665
      'entityName' => 'reg03_rd',
3666
    },
3667
    'reg03_rv' => {
3668
      'connections' => {
3669
        'reg03_rv' => 'sysgen_dut.reg03_rv',
3670
      },
3671
      'entity' => {
3672
        'attributes' => {
3673
          'entityAlreadyNetlisted' => 1,
3674
          'isGateway' => 1,
3675
          'is_floating_block' => 1,
3676
        },
3677
        'entityName' => 'reg03_rv',
3678
        'ports' => {
3679
          'reg03_rv' => {
3680
            'attributes' => {
3681
              'bin_pt' => 0,
3682
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
3683
              'is_floating_block' => 1,
3684
              'is_gateway_port' => 1,
3685
              'must_be_hdl_vector' => 1,
3686
              'period' => 1,
3687
              'port_id' => 0,
3688
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv/reg03_rv',
3689
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv',
3690
              'timingConstraint' => 'none',
3691
              'type' => 'UFix_1_0',
3692
            },
3693
            'direction' => 'in',
3694
            'hdlType' => 'std_logic',
3695
            'width' => 1,
3696
          },
3697
        },
3698
      },
3699
      'entityName' => 'reg03_rv',
3700
    },
3701
    'reg03_td' => {
3702
      'connections' => {
3703
        'reg03_td' => '.reg03_td',
3704
      },
3705
      'entity' => {
3706
        'attributes' => {
3707
          'entityAlreadyNetlisted' => 1,
3708
          'isGateway' => 1,
3709
          'is_floating_block' => 1,
3710
        },
3711
        'entityName' => 'reg03_td',
3712
        'ports' => {
3713
          'reg03_td' => {
3714
            'attributes' => {
3715
              'bin_pt' => 0,
3716
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
3717
              'is_floating_block' => 1,
3718
              'is_gateway_port' => 1,
3719
              'must_be_hdl_vector' => 1,
3720
              'period' => 1,
3721
              'port_id' => 0,
3722
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td/reg03_td',
3723
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td',
3724
              'timingConstraint' => 'none',
3725
              'type' => 'UFix_32_0',
3726
            },
3727
            'direction' => 'out',
3728
            'hdlType' => 'std_logic_vector(31 downto 0)',
3729
            'width' => 32,
3730
          },
3731
        },
3732
      },
3733
      'entityName' => 'reg03_td',
3734
    },
3735
    'reg03_tv' => {
3736
      'connections' => {
3737
        'reg03_tv' => '.reg03_tv',
3738
      },
3739
      'entity' => {
3740
        'attributes' => {
3741
          'entityAlreadyNetlisted' => 1,
3742
          'isGateway' => 1,
3743
          'is_floating_block' => 1,
3744
        },
3745
        'entityName' => 'reg03_tv',
3746
        'ports' => {
3747
          'reg03_tv' => {
3748
            'attributes' => {
3749
              'bin_pt' => 0,
3750
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
3751
              'is_floating_block' => 1,
3752
              'is_gateway_port' => 1,
3753
              'must_be_hdl_vector' => 1,
3754
              'period' => 1,
3755
              'port_id' => 0,
3756
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv/reg03_tv',
3757
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv',
3758
              'timingConstraint' => 'none',
3759
              'type' => 'Bool',
3760
            },
3761
            'direction' => 'out',
3762
            'hdlType' => 'std_logic',
3763
            'width' => 1,
3764
          },
3765
        },
3766
      },
3767
      'entityName' => 'reg03_tv',
3768
    },
3769
    'reg04_rd' => {
3770
      'connections' => {
3771
        'reg04_rd' => 'sysgen_dut.reg04_rd',
3772
      },
3773
      'entity' => {
3774
        'attributes' => {
3775
          'entityAlreadyNetlisted' => 1,
3776
          'isGateway' => 1,
3777
          'is_floating_block' => 1,
3778
        },
3779
        'entityName' => 'reg04_rd',
3780
        'ports' => {
3781
          'reg04_rd' => {
3782
            'attributes' => {
3783
              'bin_pt' => 0,
3784
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
3785
              'is_floating_block' => 1,
3786
              'is_gateway_port' => 1,
3787
              'must_be_hdl_vector' => 1,
3788
              'period' => 1,
3789
              'port_id' => 0,
3790
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd/reg04_rd',
3791
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd',
3792
              'timingConstraint' => 'none',
3793
              'type' => 'UFix_32_0',
3794
            },
3795
            'direction' => 'in',
3796
            'hdlType' => 'std_logic_vector(31 downto 0)',
3797
            'width' => 32,
3798
          },
3799
        },
3800
      },
3801
      'entityName' => 'reg04_rd',
3802
    },
3803
    'reg04_rv' => {
3804
      'connections' => {
3805
        'reg04_rv' => 'sysgen_dut.reg04_rv',
3806
      },
3807
      'entity' => {
3808
        'attributes' => {
3809
          'entityAlreadyNetlisted' => 1,
3810
          'isGateway' => 1,
3811
          'is_floating_block' => 1,
3812
        },
3813
        'entityName' => 'reg04_rv',
3814
        'ports' => {
3815
          'reg04_rv' => {
3816
            'attributes' => {
3817
              'bin_pt' => 0,
3818
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
3819
              'is_floating_block' => 1,
3820
              'is_gateway_port' => 1,
3821
              'must_be_hdl_vector' => 1,
3822
              'period' => 1,
3823
              'port_id' => 0,
3824
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv/reg04_rv',
3825
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv',
3826
              'timingConstraint' => 'none',
3827
              'type' => 'UFix_1_0',
3828
            },
3829
            'direction' => 'in',
3830
            'hdlType' => 'std_logic',
3831
            'width' => 1,
3832
          },
3833
        },
3834
      },
3835
      'entityName' => 'reg04_rv',
3836
    },
3837
    'reg04_td' => {
3838
      'connections' => {
3839
        'reg04_td' => '.reg04_td',
3840
      },
3841
      'entity' => {
3842
        'attributes' => {
3843
          'entityAlreadyNetlisted' => 1,
3844
          'isGateway' => 1,
3845
          'is_floating_block' => 1,
3846
        },
3847
        'entityName' => 'reg04_td',
3848
        'ports' => {
3849
          'reg04_td' => {
3850
            'attributes' => {
3851
              'bin_pt' => 0,
3852
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
3853
              'is_floating_block' => 1,
3854
              'is_gateway_port' => 1,
3855
              'must_be_hdl_vector' => 1,
3856
              'period' => 1,
3857
              'port_id' => 0,
3858
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td/reg04_td',
3859
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td',
3860
              'timingConstraint' => 'none',
3861
              'type' => 'UFix_32_0',
3862
            },
3863
            'direction' => 'out',
3864
            'hdlType' => 'std_logic_vector(31 downto 0)',
3865
            'width' => 32,
3866
          },
3867
        },
3868
      },
3869
      'entityName' => 'reg04_td',
3870
    },
3871
    'reg04_tv' => {
3872
      'connections' => {
3873
        'reg04_tv' => '.reg04_tv',
3874
      },
3875
      'entity' => {
3876
        'attributes' => {
3877
          'entityAlreadyNetlisted' => 1,
3878
          'isGateway' => 1,
3879
          'is_floating_block' => 1,
3880
        },
3881
        'entityName' => 'reg04_tv',
3882
        'ports' => {
3883
          'reg04_tv' => {
3884
            'attributes' => {
3885
              'bin_pt' => 0,
3886
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
3887
              'is_floating_block' => 1,
3888
              'is_gateway_port' => 1,
3889
              'must_be_hdl_vector' => 1,
3890
              'period' => 1,
3891
              'port_id' => 0,
3892
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv/reg04_tv',
3893
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv',
3894
              'timingConstraint' => 'none',
3895
              'type' => 'Bool',
3896
            },
3897
            'direction' => 'out',
3898
            'hdlType' => 'std_logic',
3899
            'width' => 1,
3900
          },
3901
        },
3902
      },
3903
      'entityName' => 'reg04_tv',
3904
    },
3905
    'reg05_rd' => {
3906
      'connections' => {
3907
        'reg05_rd' => 'sysgen_dut.reg05_rd',
3908
      },
3909
      'entity' => {
3910
        'attributes' => {
3911
          'entityAlreadyNetlisted' => 1,
3912
          'isGateway' => 1,
3913
          'is_floating_block' => 1,
3914
        },
3915
        'entityName' => 'reg05_rd',
3916
        'ports' => {
3917
          'reg05_rd' => {
3918
            'attributes' => {
3919
              'bin_pt' => 0,
3920
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
3921
              'is_floating_block' => 1,
3922
              'is_gateway_port' => 1,
3923
              'must_be_hdl_vector' => 1,
3924
              'period' => 1,
3925
              'port_id' => 0,
3926
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd/reg05_rd',
3927
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd',
3928
              'timingConstraint' => 'none',
3929
              'type' => 'UFix_32_0',
3930
            },
3931
            'direction' => 'in',
3932
            'hdlType' => 'std_logic_vector(31 downto 0)',
3933
            'width' => 32,
3934
          },
3935
        },
3936
      },
3937
      'entityName' => 'reg05_rd',
3938
    },
3939
    'reg05_rv' => {
3940
      'connections' => {
3941
        'reg05_rv' => 'sysgen_dut.reg05_rv',
3942
      },
3943
      'entity' => {
3944
        'attributes' => {
3945
          'entityAlreadyNetlisted' => 1,
3946
          'isGateway' => 1,
3947
          'is_floating_block' => 1,
3948
        },
3949
        'entityName' => 'reg05_rv',
3950
        'ports' => {
3951
          'reg05_rv' => {
3952
            'attributes' => {
3953
              'bin_pt' => 0,
3954
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
3955
              'is_floating_block' => 1,
3956
              'is_gateway_port' => 1,
3957
              'must_be_hdl_vector' => 1,
3958
              'period' => 1,
3959
              'port_id' => 0,
3960
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv/reg05_rv',
3961
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv',
3962
              'timingConstraint' => 'none',
3963
              'type' => 'UFix_1_0',
3964
            },
3965
            'direction' => 'in',
3966
            'hdlType' => 'std_logic',
3967
            'width' => 1,
3968
          },
3969
        },
3970
      },
3971
      'entityName' => 'reg05_rv',
3972
    },
3973
    'reg05_td' => {
3974
      'connections' => {
3975
        'reg05_td' => '.reg05_td',
3976
      },
3977
      'entity' => {
3978
        'attributes' => {
3979
          'entityAlreadyNetlisted' => 1,
3980
          'isGateway' => 1,
3981
          'is_floating_block' => 1,
3982
        },
3983
        'entityName' => 'reg05_td',
3984
        'ports' => {
3985
          'reg05_td' => {
3986
            'attributes' => {
3987
              'bin_pt' => 0,
3988
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
3989
              'is_floating_block' => 1,
3990
              'is_gateway_port' => 1,
3991
              'must_be_hdl_vector' => 1,
3992
              'period' => 1,
3993
              'port_id' => 0,
3994
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td/reg05_td',
3995
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td',
3996
              'timingConstraint' => 'none',
3997
              'type' => 'UFix_32_0',
3998
            },
3999
            'direction' => 'out',
4000
            'hdlType' => 'std_logic_vector(31 downto 0)',
4001
            'width' => 32,
4002
          },
4003
        },
4004
      },
4005
      'entityName' => 'reg05_td',
4006
    },
4007
    'reg05_tv' => {
4008
      'connections' => {
4009
        'reg05_tv' => '.reg05_tv',
4010
      },
4011
      'entity' => {
4012
        'attributes' => {
4013
          'entityAlreadyNetlisted' => 1,
4014
          'isGateway' => 1,
4015
          'is_floating_block' => 1,
4016
        },
4017
        'entityName' => 'reg05_tv',
4018
        'ports' => {
4019
          'reg05_tv' => {
4020
            'attributes' => {
4021
              'bin_pt' => 0,
4022
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
4023
              'is_floating_block' => 1,
4024
              'is_gateway_port' => 1,
4025
              'must_be_hdl_vector' => 1,
4026
              'period' => 1,
4027
              'port_id' => 0,
4028
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv/reg05_tv',
4029
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv',
4030
              'timingConstraint' => 'none',
4031
              'type' => 'Bool',
4032
            },
4033
            'direction' => 'out',
4034
            'hdlType' => 'std_logic',
4035
            'width' => 1,
4036
          },
4037
        },
4038
      },
4039
      'entityName' => 'reg05_tv',
4040
    },
4041
    'reg06_rd' => {
4042
      'connections' => {
4043
        'reg06_rd' => 'sysgen_dut.reg06_rd',
4044
      },
4045
      'entity' => {
4046
        'attributes' => {
4047
          'entityAlreadyNetlisted' => 1,
4048
          'isGateway' => 1,
4049
          'is_floating_block' => 1,
4050
        },
4051
        'entityName' => 'reg06_rd',
4052
        'ports' => {
4053
          'reg06_rd' => {
4054
            'attributes' => {
4055
              'bin_pt' => 0,
4056
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
4057
              'is_floating_block' => 1,
4058
              'is_gateway_port' => 1,
4059
              'must_be_hdl_vector' => 1,
4060
              'period' => 1,
4061
              'port_id' => 0,
4062
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd/reg06_rd',
4063
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd',
4064
              'timingConstraint' => 'none',
4065
              'type' => 'UFix_32_0',
4066
            },
4067
            'direction' => 'in',
4068
            'hdlType' => 'std_logic_vector(31 downto 0)',
4069
            'width' => 32,
4070
          },
4071
        },
4072
      },
4073
      'entityName' => 'reg06_rd',
4074
    },
4075
    'reg06_rv' => {
4076
      'connections' => {
4077
        'reg06_rv' => 'sysgen_dut.reg06_rv',
4078
      },
4079
      'entity' => {
4080
        'attributes' => {
4081
          'entityAlreadyNetlisted' => 1,
4082
          'isGateway' => 1,
4083
          'is_floating_block' => 1,
4084
        },
4085
        'entityName' => 'reg06_rv',
4086
        'ports' => {
4087
          'reg06_rv' => {
4088
            'attributes' => {
4089
              'bin_pt' => 0,
4090
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
4091
              'is_floating_block' => 1,
4092
              'is_gateway_port' => 1,
4093
              'must_be_hdl_vector' => 1,
4094
              'period' => 1,
4095
              'port_id' => 0,
4096
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv/reg06_rv',
4097
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv',
4098
              'timingConstraint' => 'none',
4099
              'type' => 'UFix_1_0',
4100
            },
4101
            'direction' => 'in',
4102
            'hdlType' => 'std_logic',
4103
            'width' => 1,
4104
          },
4105
        },
4106
      },
4107
      'entityName' => 'reg06_rv',
4108
    },
4109
    'reg06_td' => {
4110
      'connections' => {
4111
        'reg06_td' => '.reg06_td',
4112
      },
4113
      'entity' => {
4114
        'attributes' => {
4115
          'entityAlreadyNetlisted' => 1,
4116
          'isGateway' => 1,
4117
          'is_floating_block' => 1,
4118
        },
4119
        'entityName' => 'reg06_td',
4120
        'ports' => {
4121
          'reg06_td' => {
4122
            'attributes' => {
4123
              'bin_pt' => 0,
4124
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
4125
              'is_floating_block' => 1,
4126
              'is_gateway_port' => 1,
4127
              'must_be_hdl_vector' => 1,
4128
              'period' => 1,
4129
              'port_id' => 0,
4130
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td/reg06_td',
4131
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td',
4132
              'timingConstraint' => 'none',
4133
              'type' => 'UFix_32_0',
4134
            },
4135
            'direction' => 'out',
4136
            'hdlType' => 'std_logic_vector(31 downto 0)',
4137
            'width' => 32,
4138
          },
4139
        },
4140
      },
4141
      'entityName' => 'reg06_td',
4142
    },
4143
    'reg06_tv' => {
4144
      'connections' => {
4145
        'reg06_tv' => '.reg06_tv',
4146
      },
4147
      'entity' => {
4148
        'attributes' => {
4149
          'entityAlreadyNetlisted' => 1,
4150
          'isGateway' => 1,
4151
          'is_floating_block' => 1,
4152
        },
4153
        'entityName' => 'reg06_tv',
4154
        'ports' => {
4155
          'reg06_tv' => {
4156
            'attributes' => {
4157
              'bin_pt' => 0,
4158
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
4159
              'is_floating_block' => 1,
4160
              'is_gateway_port' => 1,
4161
              'must_be_hdl_vector' => 1,
4162
              'period' => 1,
4163
              'port_id' => 0,
4164
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv/reg06_tv',
4165
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv',
4166
              'timingConstraint' => 'none',
4167
              'type' => 'Bool',
4168
            },
4169
            'direction' => 'out',
4170
            'hdlType' => 'std_logic',
4171
            'width' => 1,
4172
          },
4173
        },
4174
      },
4175
      'entityName' => 'reg06_tv',
4176
    },
4177
    'reg07_rd' => {
4178
      'connections' => {
4179
        'reg07_rd' => 'sysgen_dut.reg07_rd',
4180
      },
4181
      'entity' => {
4182
        'attributes' => {
4183
          'entityAlreadyNetlisted' => 1,
4184
          'isGateway' => 1,
4185
          'is_floating_block' => 1,
4186
        },
4187
        'entityName' => 'reg07_rd',
4188
        'ports' => {
4189
          'reg07_rd' => {
4190
            'attributes' => {
4191
              'bin_pt' => 0,
4192
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
4193
              'is_floating_block' => 1,
4194
              'is_gateway_port' => 1,
4195
              'must_be_hdl_vector' => 1,
4196
              'period' => 1,
4197
              'port_id' => 0,
4198
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd/reg07_rd',
4199
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd',
4200
              'timingConstraint' => 'none',
4201
              'type' => 'UFix_32_0',
4202
            },
4203
            'direction' => 'in',
4204
            'hdlType' => 'std_logic_vector(31 downto 0)',
4205
            'width' => 32,
4206
          },
4207
        },
4208
      },
4209
      'entityName' => 'reg07_rd',
4210
    },
4211
    'reg07_rv' => {
4212
      'connections' => {
4213
        'reg07_rv' => 'sysgen_dut.reg07_rv',
4214
      },
4215
      'entity' => {
4216
        'attributes' => {
4217
          'entityAlreadyNetlisted' => 1,
4218
          'isGateway' => 1,
4219
          'is_floating_block' => 1,
4220
        },
4221
        'entityName' => 'reg07_rv',
4222
        'ports' => {
4223
          'reg07_rv' => {
4224
            'attributes' => {
4225
              'bin_pt' => 0,
4226
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
4227
              'is_floating_block' => 1,
4228
              'is_gateway_port' => 1,
4229
              'must_be_hdl_vector' => 1,
4230
              'period' => 1,
4231
              'port_id' => 0,
4232
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv/reg07_rv',
4233
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv',
4234
              'timingConstraint' => 'none',
4235
              'type' => 'UFix_1_0',
4236
            },
4237
            'direction' => 'in',
4238
            'hdlType' => 'std_logic',
4239
            'width' => 1,
4240
          },
4241
        },
4242
      },
4243
      'entityName' => 'reg07_rv',
4244
    },
4245
    'reg07_td' => {
4246
      'connections' => {
4247
        'reg07_td' => '.reg07_td',
4248
      },
4249
      'entity' => {
4250
        'attributes' => {
4251
          'entityAlreadyNetlisted' => 1,
4252
          'isGateway' => 1,
4253
          'is_floating_block' => 1,
4254
        },
4255
        'entityName' => 'reg07_td',
4256
        'ports' => {
4257
          'reg07_td' => {
4258
            'attributes' => {
4259
              'bin_pt' => 0,
4260
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
4261
              'is_floating_block' => 1,
4262
              'is_gateway_port' => 1,
4263
              'must_be_hdl_vector' => 1,
4264
              'period' => 1,
4265
              'port_id' => 0,
4266
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td/reg07_td',
4267
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td',
4268
              'timingConstraint' => 'none',
4269
              'type' => 'UFix_32_0',
4270
            },
4271
            'direction' => 'out',
4272
            'hdlType' => 'std_logic_vector(31 downto 0)',
4273
            'width' => 32,
4274
          },
4275
        },
4276
      },
4277
      'entityName' => 'reg07_td',
4278
    },
4279
    'reg07_tv' => {
4280
      'connections' => {
4281
        'reg07_tv' => '.reg07_tv',
4282
      },
4283
      'entity' => {
4284
        'attributes' => {
4285
          'entityAlreadyNetlisted' => 1,
4286
          'isGateway' => 1,
4287
          'is_floating_block' => 1,
4288
        },
4289
        'entityName' => 'reg07_tv',
4290
        'ports' => {
4291
          'reg07_tv' => {
4292
            'attributes' => {
4293
              'bin_pt' => 0,
4294
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
4295
              'is_floating_block' => 1,
4296
              'is_gateway_port' => 1,
4297
              'must_be_hdl_vector' => 1,
4298
              'period' => 1,
4299
              'port_id' => 0,
4300
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv/reg07_tv',
4301
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv',
4302
              'timingConstraint' => 'none',
4303
              'type' => 'Bool',
4304
            },
4305
            'direction' => 'out',
4306
            'hdlType' => 'std_logic',
4307
            'width' => 1,
4308
          },
4309
        },
4310
      },
4311
      'entityName' => 'reg07_tv',
4312
    },
4313
    'reg08_rd' => {
4314
      'connections' => {
4315
        'reg08_rd' => 'sysgen_dut.reg08_rd',
4316
      },
4317
      'entity' => {
4318
        'attributes' => {
4319
          'entityAlreadyNetlisted' => 1,
4320
          'isGateway' => 1,
4321
          'is_floating_block' => 1,
4322
        },
4323
        'entityName' => 'reg08_rd',
4324
        'ports' => {
4325
          'reg08_rd' => {
4326
            'attributes' => {
4327
              'bin_pt' => 0,
4328
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
4329
              'is_floating_block' => 1,
4330
              'is_gateway_port' => 1,
4331
              'must_be_hdl_vector' => 1,
4332
              'period' => 1,
4333
              'port_id' => 0,
4334
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd/reg08_rd',
4335
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd',
4336
              'timingConstraint' => 'none',
4337
              'type' => 'UFix_32_0',
4338
            },
4339
            'direction' => 'in',
4340
            'hdlType' => 'std_logic_vector(31 downto 0)',
4341
            'width' => 32,
4342
          },
4343
        },
4344
      },
4345
      'entityName' => 'reg08_rd',
4346
    },
4347
    'reg08_rv' => {
4348
      'connections' => {
4349
        'reg08_rv' => 'sysgen_dut.reg08_rv',
4350
      },
4351
      'entity' => {
4352
        'attributes' => {
4353
          'entityAlreadyNetlisted' => 1,
4354
          'isGateway' => 1,
4355
          'is_floating_block' => 1,
4356
        },
4357
        'entityName' => 'reg08_rv',
4358
        'ports' => {
4359
          'reg08_rv' => {
4360
            'attributes' => {
4361
              'bin_pt' => 0,
4362
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
4363
              'is_floating_block' => 1,
4364
              'is_gateway_port' => 1,
4365
              'must_be_hdl_vector' => 1,
4366
              'period' => 1,
4367
              'port_id' => 0,
4368
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv/reg08_rv',
4369
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv',
4370
              'timingConstraint' => 'none',
4371
              'type' => 'UFix_1_0',
4372
            },
4373
            'direction' => 'in',
4374
            'hdlType' => 'std_logic',
4375
            'width' => 1,
4376
          },
4377
        },
4378
      },
4379
      'entityName' => 'reg08_rv',
4380
    },
4381
    'reg08_td' => {
4382
      'connections' => {
4383
        'reg08_td' => '.reg08_td',
4384
      },
4385
      'entity' => {
4386
        'attributes' => {
4387
          'entityAlreadyNetlisted' => 1,
4388
          'isGateway' => 1,
4389
          'is_floating_block' => 1,
4390
        },
4391
        'entityName' => 'reg08_td',
4392
        'ports' => {
4393
          'reg08_td' => {
4394
            'attributes' => {
4395
              'bin_pt' => 0,
4396
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
4397
              'is_floating_block' => 1,
4398
              'is_gateway_port' => 1,
4399
              'must_be_hdl_vector' => 1,
4400
              'period' => 1,
4401
              'port_id' => 0,
4402
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td/reg08_td',
4403
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td',
4404
              'timingConstraint' => 'none',
4405
              'type' => 'UFix_32_0',
4406
            },
4407
            'direction' => 'out',
4408
            'hdlType' => 'std_logic_vector(31 downto 0)',
4409
            'width' => 32,
4410
          },
4411
        },
4412
      },
4413
      'entityName' => 'reg08_td',
4414
    },
4415
    'reg08_tv' => {
4416
      'connections' => {
4417
        'reg08_tv' => '.reg08_tv',
4418
      },
4419
      'entity' => {
4420
        'attributes' => {
4421
          'entityAlreadyNetlisted' => 1,
4422
          'isGateway' => 1,
4423
          'is_floating_block' => 1,
4424
        },
4425
        'entityName' => 'reg08_tv',
4426
        'ports' => {
4427
          'reg08_tv' => {
4428
            'attributes' => {
4429
              'bin_pt' => 0,
4430
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
4431
              'is_floating_block' => 1,
4432
              'is_gateway_port' => 1,
4433
              'must_be_hdl_vector' => 1,
4434
              'period' => 1,
4435
              'port_id' => 0,
4436
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv/reg08_tv',
4437
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv',
4438
              'timingConstraint' => 'none',
4439
              'type' => 'Bool',
4440
            },
4441
            'direction' => 'out',
4442
            'hdlType' => 'std_logic',
4443
            'width' => 1,
4444
          },
4445
        },
4446
      },
4447
      'entityName' => 'reg08_tv',
4448
    },
4449
    'reg09_rd' => {
4450
      'connections' => {
4451
        'reg09_rd' => 'sysgen_dut.reg09_rd',
4452
      },
4453
      'entity' => {
4454
        'attributes' => {
4455
          'entityAlreadyNetlisted' => 1,
4456
          'isGateway' => 1,
4457
          'is_floating_block' => 1,
4458
        },
4459
        'entityName' => 'reg09_rd',
4460
        'ports' => {
4461
          'reg09_rd' => {
4462
            'attributes' => {
4463
              'bin_pt' => 0,
4464
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
4465
              'is_floating_block' => 1,
4466
              'is_gateway_port' => 1,
4467
              'must_be_hdl_vector' => 1,
4468
              'period' => 1,
4469
              'port_id' => 0,
4470
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd/reg09_rd',
4471
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd',
4472
              'timingConstraint' => 'none',
4473
              'type' => 'UFix_32_0',
4474
            },
4475
            'direction' => 'in',
4476
            'hdlType' => 'std_logic_vector(31 downto 0)',
4477
            'width' => 32,
4478
          },
4479
        },
4480
      },
4481
      'entityName' => 'reg09_rd',
4482
    },
4483
    'reg09_rv' => {
4484
      'connections' => {
4485
        'reg09_rv' => 'sysgen_dut.reg09_rv',
4486
      },
4487
      'entity' => {
4488
        'attributes' => {
4489
          'entityAlreadyNetlisted' => 1,
4490
          'isGateway' => 1,
4491
          'is_floating_block' => 1,
4492
        },
4493
        'entityName' => 'reg09_rv',
4494
        'ports' => {
4495
          'reg09_rv' => {
4496
            'attributes' => {
4497
              'bin_pt' => 0,
4498
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
4499
              'is_floating_block' => 1,
4500
              'is_gateway_port' => 1,
4501
              'must_be_hdl_vector' => 1,
4502
              'period' => 1,
4503
              'port_id' => 0,
4504
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv/reg09_rv',
4505
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv',
4506
              'timingConstraint' => 'none',
4507
              'type' => 'UFix_1_0',
4508
            },
4509
            'direction' => 'in',
4510
            'hdlType' => 'std_logic',
4511
            'width' => 1,
4512
          },
4513
        },
4514
      },
4515
      'entityName' => 'reg09_rv',
4516
    },
4517
    'reg09_td' => {
4518
      'connections' => {
4519
        'reg09_td' => '.reg09_td',
4520
      },
4521
      'entity' => {
4522
        'attributes' => {
4523
          'entityAlreadyNetlisted' => 1,
4524
          'isGateway' => 1,
4525
          'is_floating_block' => 1,
4526
        },
4527
        'entityName' => 'reg09_td',
4528
        'ports' => {
4529
          'reg09_td' => {
4530
            'attributes' => {
4531
              'bin_pt' => 0,
4532
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
4533
              'is_floating_block' => 1,
4534
              'is_gateway_port' => 1,
4535
              'must_be_hdl_vector' => 1,
4536
              'period' => 1,
4537
              'port_id' => 0,
4538
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td/reg09_td',
4539
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td',
4540
              'timingConstraint' => 'none',
4541
              'type' => 'UFix_32_0',
4542
            },
4543
            'direction' => 'out',
4544
            'hdlType' => 'std_logic_vector(31 downto 0)',
4545
            'width' => 32,
4546
          },
4547
        },
4548
      },
4549
      'entityName' => 'reg09_td',
4550
    },
4551
    'reg09_tv' => {
4552
      'connections' => {
4553
        'reg09_tv' => '.reg09_tv',
4554
      },
4555
      'entity' => {
4556
        'attributes' => {
4557
          'entityAlreadyNetlisted' => 1,
4558
          'isGateway' => 1,
4559
          'is_floating_block' => 1,
4560
        },
4561
        'entityName' => 'reg09_tv',
4562
        'ports' => {
4563
          'reg09_tv' => {
4564
            'attributes' => {
4565
              'bin_pt' => 0,
4566
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
4567
              'is_floating_block' => 1,
4568
              'is_gateway_port' => 1,
4569
              'must_be_hdl_vector' => 1,
4570
              'period' => 1,
4571
              'port_id' => 0,
4572
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv/reg09_tv',
4573
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv',
4574
              'timingConstraint' => 'none',
4575
              'type' => 'Bool',
4576
            },
4577
            'direction' => 'out',
4578
            'hdlType' => 'std_logic',
4579
            'width' => 1,
4580
          },
4581
        },
4582
      },
4583
      'entityName' => 'reg09_tv',
4584
    },
4585
    'reg10_rd' => {
4586
      'connections' => {
4587
        'reg10_rd' => 'sysgen_dut.reg10_rd',
4588
      },
4589
      'entity' => {
4590
        'attributes' => {
4591
          'entityAlreadyNetlisted' => 1,
4592
          'isGateway' => 1,
4593
          'is_floating_block' => 1,
4594
        },
4595
        'entityName' => 'reg10_rd',
4596
        'ports' => {
4597
          'reg10_rd' => {
4598
            'attributes' => {
4599
              'bin_pt' => 0,
4600
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
4601
              'is_floating_block' => 1,
4602
              'is_gateway_port' => 1,
4603
              'must_be_hdl_vector' => 1,
4604
              'period' => 1,
4605
              'port_id' => 0,
4606
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd/reg10_rd',
4607
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd',
4608
              'timingConstraint' => 'none',
4609
              'type' => 'UFix_32_0',
4610
            },
4611
            'direction' => 'in',
4612
            'hdlType' => 'std_logic_vector(31 downto 0)',
4613
            'width' => 32,
4614
          },
4615
        },
4616
      },
4617
      'entityName' => 'reg10_rd',
4618
    },
4619
    'reg10_rv' => {
4620
      'connections' => {
4621
        'reg10_rv' => 'sysgen_dut.reg10_rv',
4622
      },
4623
      'entity' => {
4624
        'attributes' => {
4625
          'entityAlreadyNetlisted' => 1,
4626
          'isGateway' => 1,
4627
          'is_floating_block' => 1,
4628
        },
4629
        'entityName' => 'reg10_rv',
4630
        'ports' => {
4631
          'reg10_rv' => {
4632
            'attributes' => {
4633
              'bin_pt' => 0,
4634
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
4635
              'is_floating_block' => 1,
4636
              'is_gateway_port' => 1,
4637
              'must_be_hdl_vector' => 1,
4638
              'period' => 1,
4639
              'port_id' => 0,
4640
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv/reg10_rv',
4641
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv',
4642
              'timingConstraint' => 'none',
4643
              'type' => 'UFix_1_0',
4644
            },
4645
            'direction' => 'in',
4646
            'hdlType' => 'std_logic',
4647
            'width' => 1,
4648
          },
4649
        },
4650
      },
4651
      'entityName' => 'reg10_rv',
4652
    },
4653
    'reg10_td' => {
4654
      'connections' => {
4655
        'reg10_td' => '.reg10_td',
4656
      },
4657
      'entity' => {
4658
        'attributes' => {
4659
          'entityAlreadyNetlisted' => 1,
4660
          'isGateway' => 1,
4661
          'is_floating_block' => 1,
4662
        },
4663
        'entityName' => 'reg10_td',
4664
        'ports' => {
4665
          'reg10_td' => {
4666
            'attributes' => {
4667
              'bin_pt' => 0,
4668
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
4669
              'is_floating_block' => 1,
4670
              'is_gateway_port' => 1,
4671
              'must_be_hdl_vector' => 1,
4672
              'period' => 1,
4673
              'port_id' => 0,
4674
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td/reg10_td',
4675
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td',
4676
              'timingConstraint' => 'none',
4677
              'type' => 'UFix_32_0',
4678
            },
4679
            'direction' => 'out',
4680
            'hdlType' => 'std_logic_vector(31 downto 0)',
4681
            'width' => 32,
4682
          },
4683
        },
4684
      },
4685
      'entityName' => 'reg10_td',
4686
    },
4687
    'reg10_tv' => {
4688
      'connections' => {
4689
        'reg10_tv' => '.reg10_tv',
4690
      },
4691
      'entity' => {
4692
        'attributes' => {
4693
          'entityAlreadyNetlisted' => 1,
4694
          'isGateway' => 1,
4695
          'is_floating_block' => 1,
4696
        },
4697
        'entityName' => 'reg10_tv',
4698
        'ports' => {
4699
          'reg10_tv' => {
4700
            'attributes' => {
4701
              'bin_pt' => 0,
4702
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
4703
              'is_floating_block' => 1,
4704
              'is_gateway_port' => 1,
4705
              'must_be_hdl_vector' => 1,
4706
              'period' => 1,
4707
              'port_id' => 0,
4708
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv/reg10_tv',
4709
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv',
4710
              'timingConstraint' => 'none',
4711
              'type' => 'Bool',
4712
            },
4713
            'direction' => 'out',
4714
            'hdlType' => 'std_logic',
4715
            'width' => 1,
4716
          },
4717
        },
4718
      },
4719
      'entityName' => 'reg10_tv',
4720
    },
4721
    'reg11_rd' => {
4722
      'connections' => {
4723
        'reg11_rd' => 'sysgen_dut.reg11_rd',
4724
      },
4725
      'entity' => {
4726
        'attributes' => {
4727
          'entityAlreadyNetlisted' => 1,
4728
          'isGateway' => 1,
4729
          'is_floating_block' => 1,
4730
        },
4731
        'entityName' => 'reg11_rd',
4732
        'ports' => {
4733
          'reg11_rd' => {
4734
            'attributes' => {
4735
              'bin_pt' => 0,
4736
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
4737
              'is_floating_block' => 1,
4738
              'is_gateway_port' => 1,
4739
              'must_be_hdl_vector' => 1,
4740
              'period' => 1,
4741
              'port_id' => 0,
4742
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd/reg11_rd',
4743
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd',
4744
              'timingConstraint' => 'none',
4745
              'type' => 'UFix_32_0',
4746
            },
4747
            'direction' => 'in',
4748
            'hdlType' => 'std_logic_vector(31 downto 0)',
4749
            'width' => 32,
4750
          },
4751
        },
4752
      },
4753
      'entityName' => 'reg11_rd',
4754
    },
4755
    'reg11_rv' => {
4756
      'connections' => {
4757
        'reg11_rv' => 'sysgen_dut.reg11_rv',
4758
      },
4759
      'entity' => {
4760
        'attributes' => {
4761
          'entityAlreadyNetlisted' => 1,
4762
          'isGateway' => 1,
4763
          'is_floating_block' => 1,
4764
        },
4765
        'entityName' => 'reg11_rv',
4766
        'ports' => {
4767
          'reg11_rv' => {
4768
            'attributes' => {
4769
              'bin_pt' => 0,
4770
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
4771
              'is_floating_block' => 1,
4772
              'is_gateway_port' => 1,
4773
              'must_be_hdl_vector' => 1,
4774
              'period' => 1,
4775
              'port_id' => 0,
4776
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv/reg11_rv',
4777
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv',
4778
              'timingConstraint' => 'none',
4779
              'type' => 'UFix_1_0',
4780
            },
4781
            'direction' => 'in',
4782
            'hdlType' => 'std_logic',
4783
            'width' => 1,
4784
          },
4785
        },
4786
      },
4787
      'entityName' => 'reg11_rv',
4788
    },
4789
    'reg11_td' => {
4790
      'connections' => {
4791
        'reg11_td' => '.reg11_td',
4792
      },
4793
      'entity' => {
4794
        'attributes' => {
4795
          'entityAlreadyNetlisted' => 1,
4796
          'isGateway' => 1,
4797
          'is_floating_block' => 1,
4798
        },
4799
        'entityName' => 'reg11_td',
4800
        'ports' => {
4801
          'reg11_td' => {
4802
            'attributes' => {
4803
              'bin_pt' => 0,
4804
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
4805
              'is_floating_block' => 1,
4806
              'is_gateway_port' => 1,
4807
              'must_be_hdl_vector' => 1,
4808
              'period' => 1,
4809
              'port_id' => 0,
4810
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td/reg11_td',
4811
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td',
4812
              'timingConstraint' => 'none',
4813
              'type' => 'UFix_32_0',
4814
            },
4815
            'direction' => 'out',
4816
            'hdlType' => 'std_logic_vector(31 downto 0)',
4817
            'width' => 32,
4818
          },
4819
        },
4820
      },
4821
      'entityName' => 'reg11_td',
4822
    },
4823
    'reg11_tv' => {
4824
      'connections' => {
4825
        'reg11_tv' => '.reg11_tv',
4826
      },
4827
      'entity' => {
4828
        'attributes' => {
4829
          'entityAlreadyNetlisted' => 1,
4830
          'isGateway' => 1,
4831
          'is_floating_block' => 1,
4832
        },
4833
        'entityName' => 'reg11_tv',
4834
        'ports' => {
4835
          'reg11_tv' => {
4836
            'attributes' => {
4837
              'bin_pt' => 0,
4838
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
4839
              'is_floating_block' => 1,
4840
              'is_gateway_port' => 1,
4841
              'must_be_hdl_vector' => 1,
4842
              'period' => 1,
4843
              'port_id' => 0,
4844
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv/reg11_tv',
4845
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv',
4846
              'timingConstraint' => 'none',
4847
              'type' => 'Bool',
4848
            },
4849
            'direction' => 'out',
4850
            'hdlType' => 'std_logic',
4851
            'width' => 1,
4852
          },
4853
        },
4854
      },
4855
      'entityName' => 'reg11_tv',
4856
    },
4857
    'reg12_rd' => {
4858
      'connections' => {
4859
        'reg12_rd' => 'sysgen_dut.reg12_rd',
4860
      },
4861
      'entity' => {
4862
        'attributes' => {
4863
          'entityAlreadyNetlisted' => 1,
4864
          'isGateway' => 1,
4865
          'is_floating_block' => 1,
4866
        },
4867
        'entityName' => 'reg12_rd',
4868
        'ports' => {
4869
          'reg12_rd' => {
4870
            'attributes' => {
4871
              'bin_pt' => 0,
4872
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
4873
              'is_floating_block' => 1,
4874
              'is_gateway_port' => 1,
4875
              'must_be_hdl_vector' => 1,
4876
              'period' => 1,
4877
              'port_id' => 0,
4878
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd/reg12_rd',
4879
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd',
4880
              'timingConstraint' => 'none',
4881
              'type' => 'UFix_32_0',
4882
            },
4883
            'direction' => 'in',
4884
            'hdlType' => 'std_logic_vector(31 downto 0)',
4885
            'width' => 32,
4886
          },
4887
        },
4888
      },
4889
      'entityName' => 'reg12_rd',
4890
    },
4891
    'reg12_rv' => {
4892
      'connections' => {
4893
        'reg12_rv' => 'sysgen_dut.reg12_rv',
4894
      },
4895
      'entity' => {
4896
        'attributes' => {
4897
          'entityAlreadyNetlisted' => 1,
4898
          'isGateway' => 1,
4899
          'is_floating_block' => 1,
4900
        },
4901
        'entityName' => 'reg12_rv',
4902
        'ports' => {
4903
          'reg12_rv' => {
4904
            'attributes' => {
4905
              'bin_pt' => 0,
4906
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
4907
              'is_floating_block' => 1,
4908
              'is_gateway_port' => 1,
4909
              'must_be_hdl_vector' => 1,
4910
              'period' => 1,
4911
              'port_id' => 0,
4912
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv/reg12_rv',
4913
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv',
4914
              'timingConstraint' => 'none',
4915
              'type' => 'UFix_1_0',
4916
            },
4917
            'direction' => 'in',
4918
            'hdlType' => 'std_logic',
4919
            'width' => 1,
4920
          },
4921
        },
4922
      },
4923
      'entityName' => 'reg12_rv',
4924
    },
4925
    'reg12_td' => {
4926
      'connections' => {
4927
        'reg12_td' => '.reg12_td',
4928
      },
4929
      'entity' => {
4930
        'attributes' => {
4931
          'entityAlreadyNetlisted' => 1,
4932
          'isGateway' => 1,
4933
          'is_floating_block' => 1,
4934
        },
4935
        'entityName' => 'reg12_td',
4936
        'ports' => {
4937
          'reg12_td' => {
4938
            'attributes' => {
4939
              'bin_pt' => 0,
4940
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
4941
              'is_floating_block' => 1,
4942
              'is_gateway_port' => 1,
4943
              'must_be_hdl_vector' => 1,
4944
              'period' => 1,
4945
              'port_id' => 0,
4946
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td/reg12_td',
4947
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td',
4948
              'timingConstraint' => 'none',
4949
              'type' => 'UFix_32_0',
4950
            },
4951
            'direction' => 'out',
4952
            'hdlType' => 'std_logic_vector(31 downto 0)',
4953
            'width' => 32,
4954
          },
4955
        },
4956
      },
4957
      'entityName' => 'reg12_td',
4958
    },
4959
    'reg12_tv' => {
4960
      'connections' => {
4961
        'reg12_tv' => '.reg12_tv',
4962
      },
4963
      'entity' => {
4964
        'attributes' => {
4965
          'entityAlreadyNetlisted' => 1,
4966
          'isGateway' => 1,
4967
          'is_floating_block' => 1,
4968
        },
4969
        'entityName' => 'reg12_tv',
4970
        'ports' => {
4971
          'reg12_tv' => {
4972
            'attributes' => {
4973
              'bin_pt' => 0,
4974
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
4975
              'is_floating_block' => 1,
4976
              'is_gateway_port' => 1,
4977
              'must_be_hdl_vector' => 1,
4978
              'period' => 1,
4979
              'port_id' => 0,
4980
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv/reg12_tv',
4981
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv',
4982
              'timingConstraint' => 'none',
4983
              'type' => 'Bool',
4984
            },
4985
            'direction' => 'out',
4986
            'hdlType' => 'std_logic',
4987
            'width' => 1,
4988
          },
4989
        },
4990
      },
4991
      'entityName' => 'reg12_tv',
4992
    },
4993
    'reg13_rd' => {
4994
      'connections' => {
4995
        'reg13_rd' => 'sysgen_dut.reg13_rd',
4996
      },
4997
      'entity' => {
4998
        'attributes' => {
4999
          'entityAlreadyNetlisted' => 1,
5000
          'isGateway' => 1,
5001
          'is_floating_block' => 1,
5002
        },
5003
        'entityName' => 'reg13_rd',
5004
        'ports' => {
5005
          'reg13_rd' => {
5006
            'attributes' => {
5007
              'bin_pt' => 0,
5008
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
5009
              'is_floating_block' => 1,
5010
              'is_gateway_port' => 1,
5011
              'must_be_hdl_vector' => 1,
5012
              'period' => 1,
5013
              'port_id' => 0,
5014
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd/reg13_rd',
5015
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd',
5016
              'timingConstraint' => 'none',
5017
              'type' => 'UFix_32_0',
5018
            },
5019
            'direction' => 'in',
5020
            'hdlType' => 'std_logic_vector(31 downto 0)',
5021
            'width' => 32,
5022
          },
5023
        },
5024
      },
5025
      'entityName' => 'reg13_rd',
5026
    },
5027
    'reg13_rv' => {
5028
      'connections' => {
5029
        'reg13_rv' => 'sysgen_dut.reg13_rv',
5030
      },
5031
      'entity' => {
5032
        'attributes' => {
5033
          'entityAlreadyNetlisted' => 1,
5034
          'isGateway' => 1,
5035
          'is_floating_block' => 1,
5036
        },
5037
        'entityName' => 'reg13_rv',
5038
        'ports' => {
5039
          'reg13_rv' => {
5040
            'attributes' => {
5041
              'bin_pt' => 0,
5042
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
5043
              'is_floating_block' => 1,
5044
              'is_gateway_port' => 1,
5045
              'must_be_hdl_vector' => 1,
5046
              'period' => 1,
5047
              'port_id' => 0,
5048
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv/reg13_rv',
5049
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv',
5050
              'timingConstraint' => 'none',
5051
              'type' => 'UFix_1_0',
5052
            },
5053
            'direction' => 'in',
5054
            'hdlType' => 'std_logic',
5055
            'width' => 1,
5056
          },
5057
        },
5058
      },
5059
      'entityName' => 'reg13_rv',
5060
    },
5061
    'reg13_td' => {
5062
      'connections' => {
5063
        'reg13_td' => '.reg13_td',
5064
      },
5065
      'entity' => {
5066
        'attributes' => {
5067
          'entityAlreadyNetlisted' => 1,
5068
          'isGateway' => 1,
5069
          'is_floating_block' => 1,
5070
        },
5071
        'entityName' => 'reg13_td',
5072
        'ports' => {
5073
          'reg13_td' => {
5074
            'attributes' => {
5075
              'bin_pt' => 0,
5076
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
5077
              'is_floating_block' => 1,
5078
              'is_gateway_port' => 1,
5079
              'must_be_hdl_vector' => 1,
5080
              'period' => 1,
5081
              'port_id' => 0,
5082
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td/reg13_td',
5083
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td',
5084
              'timingConstraint' => 'none',
5085
              'type' => 'UFix_32_0',
5086
            },
5087
            'direction' => 'out',
5088
            'hdlType' => 'std_logic_vector(31 downto 0)',
5089
            'width' => 32,
5090
          },
5091
        },
5092
      },
5093
      'entityName' => 'reg13_td',
5094
    },
5095
    'reg13_tv' => {
5096
      'connections' => {
5097
        'reg13_tv' => '.reg13_tv',
5098
      },
5099
      'entity' => {
5100
        'attributes' => {
5101
          'entityAlreadyNetlisted' => 1,
5102
          'isGateway' => 1,
5103
          'is_floating_block' => 1,
5104
        },
5105
        'entityName' => 'reg13_tv',
5106
        'ports' => {
5107
          'reg13_tv' => {
5108
            'attributes' => {
5109
              'bin_pt' => 0,
5110
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
5111
              'is_floating_block' => 1,
5112
              'is_gateway_port' => 1,
5113
              'must_be_hdl_vector' => 1,
5114
              'period' => 1,
5115
              'port_id' => 0,
5116
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv/reg13_tv',
5117
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv',
5118
              'timingConstraint' => 'none',
5119
              'type' => 'Bool',
5120
            },
5121
            'direction' => 'out',
5122
            'hdlType' => 'std_logic',
5123
            'width' => 1,
5124
          },
5125
        },
5126
      },
5127
      'entityName' => 'reg13_tv',
5128
    },
5129
    'reg14_rd' => {
5130
      'connections' => {
5131
        'reg14_rd' => 'sysgen_dut.reg14_rd',
5132
      },
5133
      'entity' => {
5134
        'attributes' => {
5135
          'entityAlreadyNetlisted' => 1,
5136
          'isGateway' => 1,
5137
          'is_floating_block' => 1,
5138
        },
5139
        'entityName' => 'reg14_rd',
5140
        'ports' => {
5141
          'reg14_rd' => {
5142
            'attributes' => {
5143
              'bin_pt' => 0,
5144
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
5145
              'is_floating_block' => 1,
5146
              'is_gateway_port' => 1,
5147
              'must_be_hdl_vector' => 1,
5148
              'period' => 1,
5149
              'port_id' => 0,
5150
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd/reg14_rd',
5151
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd',
5152
              'timingConstraint' => 'none',
5153
              'type' => 'UFix_32_0',
5154
            },
5155
            'direction' => 'in',
5156
            'hdlType' => 'std_logic_vector(31 downto 0)',
5157
            'width' => 32,
5158
          },
5159
        },
5160
      },
5161
      'entityName' => 'reg14_rd',
5162
    },
5163
    'reg14_rv' => {
5164
      'connections' => {
5165
        'reg14_rv' => 'sysgen_dut.reg14_rv',
5166
      },
5167
      'entity' => {
5168
        'attributes' => {
5169
          'entityAlreadyNetlisted' => 1,
5170
          'isGateway' => 1,
5171
          'is_floating_block' => 1,
5172
        },
5173
        'entityName' => 'reg14_rv',
5174
        'ports' => {
5175
          'reg14_rv' => {
5176
            'attributes' => {
5177
              'bin_pt' => 0,
5178
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
5179
              'is_floating_block' => 1,
5180
              'is_gateway_port' => 1,
5181
              'must_be_hdl_vector' => 1,
5182
              'period' => 1,
5183
              'port_id' => 0,
5184
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv/reg14_rv',
5185
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv',
5186
              'timingConstraint' => 'none',
5187
              'type' => 'UFix_1_0',
5188
            },
5189
            'direction' => 'in',
5190
            'hdlType' => 'std_logic',
5191
            'width' => 1,
5192
          },
5193
        },
5194
      },
5195
      'entityName' => 'reg14_rv',
5196
    },
5197
    'reg14_td' => {
5198
      'connections' => {
5199
        'reg14_td' => '.reg14_td',
5200
      },
5201
      'entity' => {
5202
        'attributes' => {
5203
          'entityAlreadyNetlisted' => 1,
5204
          'isGateway' => 1,
5205
          'is_floating_block' => 1,
5206
        },
5207
        'entityName' => 'reg14_td',
5208
        'ports' => {
5209
          'reg14_td' => {
5210
            'attributes' => {
5211
              'bin_pt' => 0,
5212
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
5213
              'is_floating_block' => 1,
5214
              'is_gateway_port' => 1,
5215
              'must_be_hdl_vector' => 1,
5216
              'period' => 1,
5217
              'port_id' => 0,
5218
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td/reg14_td',
5219
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td',
5220
              'timingConstraint' => 'none',
5221
              'type' => 'UFix_32_0',
5222
            },
5223
            'direction' => 'out',
5224
            'hdlType' => 'std_logic_vector(31 downto 0)',
5225
            'width' => 32,
5226
          },
5227
        },
5228
      },
5229
      'entityName' => 'reg14_td',
5230
    },
5231
    'reg14_tv' => {
5232
      'connections' => {
5233
        'reg14_tv' => '.reg14_tv',
5234
      },
5235
      'entity' => {
5236
        'attributes' => {
5237
          'entityAlreadyNetlisted' => 1,
5238
          'isGateway' => 1,
5239
          'is_floating_block' => 1,
5240
        },
5241
        'entityName' => 'reg14_tv',
5242
        'ports' => {
5243
          'reg14_tv' => {
5244
            'attributes' => {
5245
              'bin_pt' => 0,
5246
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
5247
              'is_floating_block' => 1,
5248
              'is_gateway_port' => 1,
5249
              'must_be_hdl_vector' => 1,
5250
              'period' => 1,
5251
              'port_id' => 0,
5252
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv/reg14_tv',
5253
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv',
5254
              'timingConstraint' => 'none',
5255
              'type' => 'Bool',
5256
            },
5257
            'direction' => 'out',
5258
            'hdlType' => 'std_logic',
5259
            'width' => 1,
5260
          },
5261
        },
5262
      },
5263
      'entityName' => 'reg14_tv',
5264
    },
5265
    'sysgen_dut' => {
5266
      'connections' => {
5267
        'clk' => '.clk',
5268
        'debug_in_1i' => '.debug_in_1i',
5269
        'debug_in_2i' => '.debug_in_2i',
5270
        'debug_in_3i' => '.debug_in_3i',
5271
        'debug_in_4i' => '.debug_in_4i',
5272
        'dma_host2board_busy' => '.dma_host2board_busy',
5273
        'dma_host2board_done' => '.dma_host2board_done',
5274
        'from_register10_data_out' => 'from_register10.data_out',
5275
        'from_register11_data_out' => 'from_register11.data_out',
5276
        'from_register12_data_out' => 'from_register12.data_out',
5277
        'from_register13_data_out' => 'from_register13.data_out',
5278
        'from_register14_data_out' => 'from_register14.data_out',
5279
        'from_register15_data_out' => 'from_register15.data_out',
5280
        'from_register16_data_out' => 'from_register16.data_out',
5281
        'from_register17_data_out' => 'from_register17.data_out',
5282
        'from_register18_data_out' => 'from_register18.data_out',
5283
        'from_register19_data_out' => 'from_register19.data_out',
5284
        'from_register1_data_out' => 'from_register1.data_out',
5285
        'from_register20_data_out' => 'from_register20.data_out',
5286
        'from_register21_data_out' => 'from_register21.data_out',
5287
        'from_register22_data_out' => 'from_register22.data_out',
5288
        'from_register23_data_out' => 'from_register23.data_out',
5289
        'from_register24_data_out' => 'from_register24.data_out',
5290
        'from_register25_data_out' => 'from_register25.data_out',
5291
        'from_register26_data_out' => 'from_register26.data_out',
5292
        'from_register27_data_out' => 'from_register27.data_out',
5293
        'from_register28_data_out' => 'from_register28.data_out',
5294
        'from_register2_data_out' => 'from_register2.data_out',
5295
        'from_register3_data_out' => 'from_register3.data_out',
5296
        'from_register4_data_out' => 'from_register4.data_out',
5297
        'from_register5_data_out' => 'from_register5.data_out',
5298
        'from_register6_data_out' => 'from_register6.data_out',
5299
        'from_register7_data_out' => 'from_register7.data_out',
5300
        'from_register8_data_out' => 'from_register8.data_out',
5301
        'from_register9_data_out' => 'from_register9.data_out',
5302
        'reg01_rd' => 'sysgen_dut.reg01_rd',
5303
        'reg01_rv' => 'sysgen_dut.reg01_rv',
5304
        'reg01_td' => '.reg01_td',
5305
        'reg01_tv' => '.reg01_tv',
5306
        'reg02_rd' => 'sysgen_dut.reg02_rd',
5307
        'reg02_rv' => 'sysgen_dut.reg02_rv',
5308
        'reg02_td' => '.reg02_td',
5309
        'reg02_tv' => '.reg02_tv',
5310
        'reg03_rd' => 'sysgen_dut.reg03_rd',
5311
        'reg03_rv' => 'sysgen_dut.reg03_rv',
5312
        'reg03_td' => '.reg03_td',
5313
        'reg03_tv' => '.reg03_tv',
5314
        'reg04_rd' => 'sysgen_dut.reg04_rd',
5315
        'reg04_rv' => 'sysgen_dut.reg04_rv',
5316
        'reg04_td' => '.reg04_td',
5317
        'reg04_tv' => '.reg04_tv',
5318
        'reg05_rd' => 'sysgen_dut.reg05_rd',
5319
        'reg05_rv' => 'sysgen_dut.reg05_rv',
5320
        'reg05_td' => '.reg05_td',
5321
        'reg05_tv' => '.reg05_tv',
5322
        'reg06_rd' => 'sysgen_dut.reg06_rd',
5323
        'reg06_rv' => 'sysgen_dut.reg06_rv',
5324
        'reg06_td' => '.reg06_td',
5325
        'reg06_tv' => '.reg06_tv',
5326
        'reg07_rd' => 'sysgen_dut.reg07_rd',
5327
        'reg07_rv' => 'sysgen_dut.reg07_rv',
5328
        'reg07_td' => '.reg07_td',
5329
        'reg07_tv' => '.reg07_tv',
5330
        'reg08_rd' => 'sysgen_dut.reg08_rd',
5331
        'reg08_rv' => 'sysgen_dut.reg08_rv',
5332
        'reg08_td' => '.reg08_td',
5333
        'reg08_tv' => '.reg08_tv',
5334
        'reg09_rd' => 'sysgen_dut.reg09_rd',
5335
        'reg09_rv' => 'sysgen_dut.reg09_rv',
5336
        'reg09_td' => '.reg09_td',
5337
        'reg09_tv' => '.reg09_tv',
5338
        'reg10_rd' => 'sysgen_dut.reg10_rd',
5339
        'reg10_rv' => 'sysgen_dut.reg10_rv',
5340
        'reg10_td' => '.reg10_td',
5341
        'reg10_tv' => '.reg10_tv',
5342
        'reg11_rd' => 'sysgen_dut.reg11_rd',
5343
        'reg11_rv' => 'sysgen_dut.reg11_rv',
5344
        'reg11_td' => '.reg11_td',
5345
        'reg11_tv' => '.reg11_tv',
5346
        'reg12_rd' => 'sysgen_dut.reg12_rd',
5347
        'reg12_rv' => 'sysgen_dut.reg12_rv',
5348
        'reg12_td' => '.reg12_td',
5349
        'reg12_tv' => '.reg12_tv',
5350
        'reg13_rd' => 'sysgen_dut.reg13_rd',
5351
        'reg13_rv' => 'sysgen_dut.reg13_rv',
5352
        'reg13_td' => '.reg13_td',
5353
        'reg13_tv' => '.reg13_tv',
5354
        'reg14_rd' => 'sysgen_dut.reg14_rd',
5355
        'reg14_rv' => 'sysgen_dut.reg14_rv',
5356
        'reg14_td' => '.reg14_td',
5357
        'reg14_tv' => '.reg14_tv',
5358
        'to_register10_ce' => 'sysgen_dut.to_register10_ce',
5359
        'to_register10_clk' => 'sysgen_dut.to_register10_clk',
5360
        'to_register10_clr' => 'sysgen_dut.to_register10_clr',
5361
        'to_register10_data_in' => 'sysgen_dut.to_register10_data_in',
5362
        'to_register10_dout' => 'to_register10.dout',
5363
        'to_register10_en' => 'sysgen_dut.to_register10_en',
5364
        'to_register11_ce' => 'sysgen_dut.to_register11_ce',
5365
        'to_register11_clk' => 'sysgen_dut.to_register11_clk',
5366
        'to_register11_clr' => 'sysgen_dut.to_register11_clr',
5367
        'to_register11_data_in' => 'sysgen_dut.to_register11_data_in',
5368
        'to_register11_dout' => 'to_register11.dout',
5369
        'to_register11_en' => 'sysgen_dut.to_register11_en',
5370
        'to_register12_ce' => 'sysgen_dut.to_register12_ce',
5371
        'to_register12_clk' => 'sysgen_dut.to_register12_clk',
5372
        'to_register12_clr' => 'sysgen_dut.to_register12_clr',
5373
        'to_register12_data_in' => 'sysgen_dut.to_register12_data_in',
5374
        'to_register12_dout' => 'to_register12.dout',
5375
        'to_register12_en' => 'sysgen_dut.to_register12_en',
5376
        'to_register13_ce' => 'sysgen_dut.to_register13_ce',
5377
        'to_register13_clk' => 'sysgen_dut.to_register13_clk',
5378
        'to_register13_clr' => 'sysgen_dut.to_register13_clr',
5379
        'to_register13_data_in' => 'sysgen_dut.to_register13_data_in',
5380
        'to_register13_dout' => 'to_register13.dout',
5381
        'to_register13_en' => 'sysgen_dut.to_register13_en',
5382
        'to_register14_ce' => 'sysgen_dut.to_register14_ce',
5383
        'to_register14_clk' => 'sysgen_dut.to_register14_clk',
5384
        'to_register14_clr' => 'sysgen_dut.to_register14_clr',
5385
        'to_register14_data_in' => 'sysgen_dut.to_register14_data_in',
5386
        'to_register14_dout' => 'to_register14.dout',
5387
        'to_register14_en' => 'sysgen_dut.to_register14_en',
5388
        'to_register15_ce' => 'sysgen_dut.to_register15_ce',
5389
        'to_register15_clk' => 'sysgen_dut.to_register15_clk',
5390
        'to_register15_clr' => 'sysgen_dut.to_register15_clr',
5391
        'to_register15_data_in' => 'sysgen_dut.to_register15_data_in',
5392
        'to_register15_dout' => 'to_register15.dout',
5393
        'to_register15_en' => 'sysgen_dut.to_register15_en',
5394
        'to_register16_ce' => 'sysgen_dut.to_register16_ce',
5395
        'to_register16_clk' => 'sysgen_dut.to_register16_clk',
5396
        'to_register16_clr' => 'sysgen_dut.to_register16_clr',
5397
        'to_register16_data_in' => 'sysgen_dut.to_register16_data_in',
5398
        'to_register16_dout' => 'to_register16.dout',
5399
        'to_register16_en' => 'sysgen_dut.to_register16_en',
5400
        'to_register17_ce' => 'sysgen_dut.to_register17_ce',
5401
        'to_register17_clk' => 'sysgen_dut.to_register17_clk',
5402
        'to_register17_clr' => 'sysgen_dut.to_register17_clr',
5403
        'to_register17_data_in' => 'sysgen_dut.to_register17_data_in',
5404
        'to_register17_dout' => 'to_register17.dout',
5405
        'to_register17_en' => 'sysgen_dut.to_register17_en',
5406
        'to_register18_ce' => 'sysgen_dut.to_register18_ce',
5407
        'to_register18_clk' => 'sysgen_dut.to_register18_clk',
5408
        'to_register18_clr' => 'sysgen_dut.to_register18_clr',
5409
        'to_register18_data_in' => 'sysgen_dut.to_register18_data_in',
5410
        'to_register18_dout' => 'to_register18.dout',
5411
        'to_register18_en' => 'sysgen_dut.to_register18_en',
5412
        'to_register19_ce' => 'sysgen_dut.to_register19_ce',
5413
        'to_register19_clk' => 'sysgen_dut.to_register19_clk',
5414
        'to_register19_clr' => 'sysgen_dut.to_register19_clr',
5415
        'to_register19_data_in' => 'sysgen_dut.to_register19_data_in',
5416
        'to_register19_dout' => 'to_register19.dout',
5417
        'to_register19_en' => 'sysgen_dut.to_register19_en',
5418
        'to_register1_ce' => 'sysgen_dut.to_register1_ce',
5419
        'to_register1_clk' => 'sysgen_dut.to_register1_clk',
5420
        'to_register1_clr' => 'sysgen_dut.to_register1_clr',
5421
        'to_register1_data_in' => 'sysgen_dut.to_register1_data_in',
5422
        'to_register1_dout' => 'to_register1.dout',
5423
        'to_register1_en' => 'sysgen_dut.to_register1_en',
5424
        'to_register20_ce' => 'sysgen_dut.to_register20_ce',
5425
        'to_register20_clk' => 'sysgen_dut.to_register20_clk',
5426
        'to_register20_clr' => 'sysgen_dut.to_register20_clr',
5427
        'to_register20_data_in' => 'sysgen_dut.to_register20_data_in',
5428
        'to_register20_dout' => 'to_register20.dout',
5429
        'to_register20_en' => 'sysgen_dut.to_register20_en',
5430
        'to_register21_ce' => 'sysgen_dut.to_register21_ce',
5431
        'to_register21_clk' => 'sysgen_dut.to_register21_clk',
5432
        'to_register21_clr' => 'sysgen_dut.to_register21_clr',
5433
        'to_register21_data_in' => 'sysgen_dut.to_register21_data_in',
5434
        'to_register21_dout' => 'to_register21.dout',
5435
        'to_register21_en' => 'sysgen_dut.to_register21_en',
5436
        'to_register22_ce' => 'sysgen_dut.to_register22_ce',
5437
        'to_register22_clk' => 'sysgen_dut.to_register22_clk',
5438
        'to_register22_clr' => 'sysgen_dut.to_register22_clr',
5439
        'to_register22_data_in' => 'sysgen_dut.to_register22_data_in',
5440
        'to_register22_dout' => 'to_register22.dout',
5441
        'to_register22_en' => 'sysgen_dut.to_register22_en',
5442
        'to_register23_ce' => 'sysgen_dut.to_register23_ce',
5443
        'to_register23_clk' => 'sysgen_dut.to_register23_clk',
5444
        'to_register23_clr' => 'sysgen_dut.to_register23_clr',
5445
        'to_register23_data_in' => 'sysgen_dut.to_register23_data_in',
5446
        'to_register23_dout' => 'to_register23.dout',
5447
        'to_register23_en' => 'sysgen_dut.to_register23_en',
5448
        'to_register24_ce' => 'sysgen_dut.to_register24_ce',
5449
        'to_register24_clk' => 'sysgen_dut.to_register24_clk',
5450
        'to_register24_clr' => 'sysgen_dut.to_register24_clr',
5451
        'to_register24_data_in' => 'sysgen_dut.to_register24_data_in',
5452
        'to_register24_dout' => 'to_register24.dout',
5453
        'to_register24_en' => 'sysgen_dut.to_register24_en',
5454
        'to_register25_ce' => 'sysgen_dut.to_register25_ce',
5455
        'to_register25_clk' => 'sysgen_dut.to_register25_clk',
5456
        'to_register25_clr' => 'sysgen_dut.to_register25_clr',
5457
        'to_register25_data_in' => 'sysgen_dut.to_register25_data_in',
5458
        'to_register25_dout' => 'to_register25.dout',
5459
        'to_register25_en' => 'sysgen_dut.to_register25_en',
5460
        'to_register26_ce' => 'sysgen_dut.to_register26_ce',
5461
        'to_register26_clk' => 'sysgen_dut.to_register26_clk',
5462
        'to_register26_clr' => 'sysgen_dut.to_register26_clr',
5463
        'to_register26_data_in' => 'sysgen_dut.to_register26_data_in',
5464
        'to_register26_dout' => 'to_register26.dout',
5465
        'to_register26_en' => 'sysgen_dut.to_register26_en',
5466
        'to_register27_ce' => 'sysgen_dut.to_register27_ce',
5467
        'to_register27_clk' => 'sysgen_dut.to_register27_clk',
5468
        'to_register27_clr' => 'sysgen_dut.to_register27_clr',
5469
        'to_register27_data_in' => 'sysgen_dut.to_register27_data_in',
5470
        'to_register27_dout' => 'to_register27.dout',
5471
        'to_register27_en' => 'sysgen_dut.to_register27_en',
5472
        'to_register28_ce' => 'sysgen_dut.to_register28_ce',
5473
        'to_register28_clk' => 'sysgen_dut.to_register28_clk',
5474
        'to_register28_clr' => 'sysgen_dut.to_register28_clr',
5475
        'to_register28_data_in' => 'sysgen_dut.to_register28_data_in',
5476
        'to_register28_dout' => 'to_register28.dout',
5477
        'to_register28_en' => 'sysgen_dut.to_register28_en',
5478
        'to_register29_ce' => 'sysgen_dut.to_register29_ce',
5479
        'to_register29_clk' => 'sysgen_dut.to_register29_clk',
5480
        'to_register29_clr' => 'sysgen_dut.to_register29_clr',
5481
        'to_register29_data_in' => 'sysgen_dut.to_register29_data_in',
5482
        'to_register29_dout' => 'to_register29.dout',
5483
        'to_register29_en' => 'sysgen_dut.to_register29_en',
5484
        'to_register2_ce' => 'sysgen_dut.to_register2_ce',
5485
        'to_register2_clk' => 'sysgen_dut.to_register2_clk',
5486
        'to_register2_clr' => 'sysgen_dut.to_register2_clr',
5487
        'to_register2_data_in' => 'sysgen_dut.to_register2_data_in',
5488
        'to_register2_dout' => 'to_register2.dout',
5489
        'to_register2_en' => 'sysgen_dut.to_register2_en',
5490
        'to_register30_ce' => 'sysgen_dut.to_register30_ce',
5491
        'to_register30_clk' => 'sysgen_dut.to_register30_clk',
5492
        'to_register30_clr' => 'sysgen_dut.to_register30_clr',
5493
        'to_register30_data_in' => 'sysgen_dut.to_register30_data_in',
5494
        'to_register30_dout' => 'to_register30.dout',
5495
        'to_register30_en' => 'sysgen_dut.to_register30_en',
5496
        'to_register31_ce' => 'sysgen_dut.to_register31_ce',
5497
        'to_register31_clk' => 'sysgen_dut.to_register31_clk',
5498
        'to_register31_clr' => 'sysgen_dut.to_register31_clr',
5499
        'to_register31_data_in' => 'sysgen_dut.to_register31_data_in',
5500
        'to_register31_dout' => 'to_register31.dout',
5501
        'to_register31_en' => 'sysgen_dut.to_register31_en',
5502
        'to_register32_ce' => 'sysgen_dut.to_register32_ce',
5503
        'to_register32_clk' => 'sysgen_dut.to_register32_clk',
5504
        'to_register32_clr' => 'sysgen_dut.to_register32_clr',
5505
        'to_register32_data_in' => 'sysgen_dut.to_register32_data_in',
5506
        'to_register32_dout' => 'to_register32.dout',
5507
        'to_register32_en' => 'sysgen_dut.to_register32_en',
5508
        'to_register33_ce' => 'sysgen_dut.to_register33_ce',
5509
        'to_register33_clk' => 'sysgen_dut.to_register33_clk',
5510
        'to_register33_clr' => 'sysgen_dut.to_register33_clr',
5511
        'to_register33_data_in' => 'sysgen_dut.to_register33_data_in',
5512
        'to_register33_dout' => 'to_register33.dout',
5513
        'to_register33_en' => 'sysgen_dut.to_register33_en',
5514
        'to_register34_ce' => 'sysgen_dut.to_register34_ce',
5515
        'to_register34_clk' => 'sysgen_dut.to_register34_clk',
5516
        'to_register34_clr' => 'sysgen_dut.to_register34_clr',
5517
        'to_register34_data_in' => 'sysgen_dut.to_register34_data_in',
5518
        'to_register34_dout' => 'to_register34.dout',
5519
        'to_register34_en' => 'sysgen_dut.to_register34_en',
5520
        'to_register3_ce' => 'sysgen_dut.to_register3_ce',
5521
        'to_register3_clk' => 'sysgen_dut.to_register3_clk',
5522
        'to_register3_clr' => 'sysgen_dut.to_register3_clr',
5523
        'to_register3_data_in' => 'sysgen_dut.to_register3_data_in',
5524
        'to_register3_dout' => 'to_register3.dout',
5525
        'to_register3_en' => 'sysgen_dut.to_register3_en',
5526
        'to_register4_ce' => 'sysgen_dut.to_register4_ce',
5527
        'to_register4_clk' => 'sysgen_dut.to_register4_clk',
5528
        'to_register4_clr' => 'sysgen_dut.to_register4_clr',
5529
        'to_register4_data_in' => 'sysgen_dut.to_register4_data_in',
5530
        'to_register4_dout' => 'to_register4.dout',
5531
        'to_register4_en' => 'sysgen_dut.to_register4_en',
5532
        'to_register5_ce' => 'sysgen_dut.to_register5_ce',
5533
        'to_register5_clk' => 'sysgen_dut.to_register5_clk',
5534
        'to_register5_clr' => 'sysgen_dut.to_register5_clr',
5535
        'to_register5_data_in' => 'sysgen_dut.to_register5_data_in',
5536
        'to_register5_dout' => 'to_register5.dout',
5537
        'to_register5_en' => 'sysgen_dut.to_register5_en',
5538
        'to_register6_ce' => 'sysgen_dut.to_register6_ce',
5539
        'to_register6_clk' => 'sysgen_dut.to_register6_clk',
5540
        'to_register6_clr' => 'sysgen_dut.to_register6_clr',
5541
        'to_register6_data_in' => 'sysgen_dut.to_register6_data_in',
5542
        'to_register6_dout' => 'to_register6.dout',
5543
        'to_register6_en' => 'sysgen_dut.to_register6_en',
5544
        'to_register7_ce' => 'sysgen_dut.to_register7_ce',
5545
        'to_register7_clk' => 'sysgen_dut.to_register7_clk',
5546
        'to_register7_clr' => 'sysgen_dut.to_register7_clr',
5547
        'to_register7_data_in' => 'sysgen_dut.to_register7_data_in',
5548
        'to_register7_dout' => 'to_register7.dout',
5549
        'to_register7_en' => 'sysgen_dut.to_register7_en',
5550
        'to_register8_ce' => 'sysgen_dut.to_register8_ce',
5551
        'to_register8_clk' => 'sysgen_dut.to_register8_clk',
5552
        'to_register8_clr' => 'sysgen_dut.to_register8_clr',
5553
        'to_register8_data_in' => 'sysgen_dut.to_register8_data_in',
5554
        'to_register8_dout' => 'to_register8.dout',
5555
        'to_register8_en' => 'sysgen_dut.to_register8_en',
5556
        'to_register9_ce' => 'sysgen_dut.to_register9_ce',
5557
        'to_register9_clk' => 'sysgen_dut.to_register9_clk',
5558
        'to_register9_clr' => 'sysgen_dut.to_register9_clr',
5559
        'to_register9_data_in' => 'sysgen_dut.to_register9_data_in',
5560
        'to_register9_dout' => 'to_register9.dout',
5561
        'to_register9_en' => 'sysgen_dut.to_register9_en',
5562
      },
5563
      'entity' => {
5564
        'attributes' => {
5565
          'entityAlreadyNetlisted' => 1,
5566
          'hdlArchAttributes' => [
5567
          ],
5568
          'hdlEntityAttributes' => [
5569
          ],
5570
          'isClkWrapper' => 1,
5571
        },
5572
        'connections' => {
5573
          'clk' => 'clkNet',
5574
          'debug_in_1i' => 'debug_in_1i_net',
5575
          'debug_in_2i' => 'debug_in_2i_net',
5576
          'debug_in_3i' => 'debug_in_3i_net',
5577
          'debug_in_4i' => 'debug_in_4i_net',
5578
          'dma_host2board_busy' => 'dma_host2board_busy_net',
5579
          'dma_host2board_done' => 'dma_host2board_done_net',
5580
          'from_register10_data_out' => 'from_register10_data_out_net',
5581
          'from_register11_data_out' => 'from_register11_data_out_net',
5582
          'from_register12_data_out' => 'from_register12_data_out_net',
5583
          'from_register13_data_out' => 'from_register13_data_out_net',
5584
          'from_register14_data_out' => 'from_register14_data_out_net',
5585
          'from_register15_data_out' => 'from_register15_data_out_net',
5586
          'from_register16_data_out' => 'from_register16_data_out_net',
5587
          'from_register17_data_out' => 'from_register17_data_out_net',
5588
          'from_register18_data_out' => 'from_register18_data_out_net',
5589
          'from_register19_data_out' => 'from_register19_data_out_net',
5590
          'from_register1_data_out' => 'from_register1_data_out_net',
5591
          'from_register20_data_out' => 'from_register20_data_out_net',
5592
          'from_register21_data_out' => 'from_register21_data_out_net',
5593
          'from_register22_data_out' => 'from_register22_data_out_net',
5594
          'from_register23_data_out' => 'from_register23_data_out_net',
5595
          'from_register24_data_out' => 'from_register24_data_out_net',
5596
          'from_register25_data_out' => 'from_register25_data_out_net',
5597
          'from_register26_data_out' => 'from_register26_data_out_net',
5598
          'from_register27_data_out' => 'from_register27_data_out_net',
5599
          'from_register28_data_out' => 'from_register28_data_out_net',
5600
          'from_register2_data_out' => 'from_register2_data_out_net',
5601
          'from_register3_data_out' => 'from_register3_data_out_net',
5602
          'from_register4_data_out' => 'from_register4_data_out_net',
5603
          'from_register5_data_out' => 'from_register5_data_out_net',
5604
          'from_register6_data_out' => 'from_register6_data_out_net',
5605
          'from_register7_data_out' => 'from_register7_data_out_net',
5606
          'from_register8_data_out' => 'from_register8_data_out_net',
5607
          'from_register9_data_out' => 'from_register9_data_out_net',
5608
          'reg01_rd' => 'from_register3_data_out_net_x0',
5609
          'reg01_rv' => 'from_register1_data_out_net_x0',
5610
          'reg01_td' => 'reg01_td_net',
5611
          'reg01_tv' => 'reg01_tv_net',
5612
          'reg02_rd' => 'from_register5_data_out_net_x0',
5613
          'reg02_rv' => 'from_register2_data_out_net_x0',
5614
          'reg02_td' => 'reg02_td_net',
5615
          'reg02_tv' => 'reg02_tv_net',
5616
          'reg03_rd' => 'from_register7_data_out_net_x0',
5617
          'reg03_rv' => 'from_register6_data_out_net_x0',
5618
          'reg03_td' => 'reg03_td_net',
5619
          'reg03_tv' => 'reg03_tv_net',
5620
          'reg04_rd' => 'from_register8_data_out_net_x0',
5621
          'reg04_rv' => 'from_register4_data_out_net_x0',
5622
          'reg04_td' => 'reg04_td_net',
5623
          'reg04_tv' => 'reg04_tv_net',
5624
          'reg05_rd' => 'from_register10_data_out_net_x0',
5625
          'reg05_rv' => 'from_register9_data_out_net_x0',
5626
          'reg05_td' => 'reg05_td_net',
5627
          'reg05_tv' => 'reg05_tv_net',
5628
          'reg06_rd' => 'from_register11_data_out_net_x0',
5629
          'reg06_rv' => 'from_register12_data_out_net_x0',
5630
          'reg06_td' => 'reg06_td_net',
5631
          'reg06_tv' => 'reg06_tv_net',
5632
          'reg07_rd' => 'from_register13_data_out_net_x0',
5633
          'reg07_rv' => 'from_register14_data_out_net_x0',
5634
          'reg07_td' => 'reg07_td_net',
5635
          'reg07_tv' => 'reg07_tv_net',
5636
          'reg08_rd' => 'from_register15_data_out_net_x0',
5637
          'reg08_rv' => 'from_register16_data_out_net_x0',
5638
          'reg08_td' => 'reg08_td_net',
5639
          'reg08_tv' => 'reg08_tv_net',
5640
          'reg09_rd' => 'from_register17_data_out_net_x0',
5641
          'reg09_rv' => 'from_register18_data_out_net_x0',
5642
          'reg09_td' => 'reg09_td_net',
5643
          'reg09_tv' => 'reg09_tv_net',
5644
          'reg10_rd' => 'from_register19_data_out_net_x0',
5645
          'reg10_rv' => 'from_register20_data_out_net_x0',
5646
          'reg10_td' => 'reg10_td_net',
5647
          'reg10_tv' => 'reg10_tv_net',
5648
          'reg11_rd' => 'from_register21_data_out_net_x0',
5649
          'reg11_rv' => 'from_register22_data_out_net_x0',
5650
          'reg11_td' => 'reg11_td_net',
5651
          'reg11_tv' => 'reg11_tv_net',
5652
          'reg12_rd' => 'from_register23_data_out_net_x0',
5653
          'reg12_rv' => 'from_register24_data_out_net_x0',
5654
          'reg12_td' => 'reg12_td_net',
5655
          'reg12_tv' => 'reg12_tv_net',
5656
          'reg13_rd' => 'from_register25_data_out_net_x0',
5657
          'reg13_rv' => 'from_register26_data_out_net_x0',
5658
          'reg13_td' => 'reg13_td_net',
5659
          'reg13_tv' => 'reg13_tv_net',
5660
          'reg14_rd' => 'from_register27_data_out_net_x0',
5661
          'reg14_rv' => 'from_register28_data_out_net_x0',
5662
          'reg14_td' => 'reg14_td_net',
5663
          'reg14_tv' => 'reg14_tv_net',
5664
          'to_register10_ce' => 'ce_1_sg',
5665
          'to_register10_clk' => 'clk_1_sg',
5666
          'to_register10_clr' => [
5667
            'constant',
5668
            '\'0\'',
5669
          ],
5670
          'to_register10_data_in' => 'reg04_tv_net_x0',
5671
          'to_register10_dout' => 'to_register10_dout_net',
5672
          'to_register10_en' => 'constant5_op_net_x1',
5673
          'to_register11_ce' => 'ce_1_sg',
5674
          'to_register11_clk' => 'clk_1_sg',
5675
          'to_register11_clr' => [
5676
            'constant',
5677
            '\'0\'',
5678
          ],
5679
          'to_register11_data_in' => 'reg04_td_net_x0',
5680
          'to_register11_dout' => 'to_register11_dout_net',
5681
          'to_register11_en' => 'constant5_op_net_x2',
5682
          'to_register12_ce' => 'ce_1_sg',
5683
          'to_register12_clk' => 'clk_1_sg',
5684
          'to_register12_clr' => [
5685
            'constant',
5686
            '\'0\'',
5687
          ],
5688
          'to_register12_data_in' => 'reg05_tv_net_x0',
5689
          'to_register12_dout' => 'to_register12_dout_net',
5690
          'to_register12_en' => 'constant5_op_net_x3',
5691
          'to_register13_ce' => 'ce_1_sg',
5692
          'to_register13_clk' => 'clk_1_sg',
5693
          'to_register13_clr' => [
5694
            'constant',
5695
            '\'0\'',
5696
          ],
5697
          'to_register13_data_in' => 'reg05_td_net_x0',
5698
          'to_register13_dout' => 'to_register13_dout_net',
5699
          'to_register13_en' => 'constant5_op_net_x4',
5700
          'to_register14_ce' => 'ce_1_sg',
5701
          'to_register14_clk' => 'clk_1_sg',
5702
          'to_register14_clr' => [
5703
            'constant',
5704
            '\'0\'',
5705
          ],
5706
          'to_register14_data_in' => 'reg06_tv_net_x0',
5707
          'to_register14_dout' => 'to_register14_dout_net',
5708
          'to_register14_en' => 'constant5_op_net_x5',
5709
          'to_register15_ce' => 'ce_1_sg',
5710
          'to_register15_clk' => 'clk_1_sg',
5711
          'to_register15_clr' => [
5712
            'constant',
5713
            '\'0\'',
5714
          ],
5715
          'to_register15_data_in' => 'reg06_td_net_x0',
5716
          'to_register15_dout' => 'to_register15_dout_net',
5717
          'to_register15_en' => 'constant5_op_net_x6',
5718
          'to_register16_ce' => 'ce_1_sg',
5719
          'to_register16_clk' => 'clk_1_sg',
5720
          'to_register16_clr' => [
5721
            'constant',
5722
            '\'0\'',
5723
          ],
5724
          'to_register16_data_in' => 'reg07_tv_net_x0',
5725
          'to_register16_dout' => 'to_register16_dout_net',
5726
          'to_register16_en' => 'constant5_op_net_x7',
5727
          'to_register17_ce' => 'ce_1_sg',
5728
          'to_register17_clk' => 'clk_1_sg',
5729
          'to_register17_clr' => [
5730
            'constant',
5731
            '\'0\'',
5732
          ],
5733
          'to_register17_data_in' => 'reg07_td_net_x0',
5734
          'to_register17_dout' => 'to_register17_dout_net',
5735
          'to_register17_en' => 'constant5_op_net_x8',
5736
          'to_register18_ce' => 'ce_1_sg',
5737
          'to_register18_clk' => 'clk_1_sg',
5738
          'to_register18_clr' => [
5739
            'constant',
5740
            '\'0\'',
5741
          ],
5742
          'to_register18_data_in' => 'dma_host2board_busy_net_x0',
5743
          'to_register18_dout' => 'to_register18_dout_net',
5744
          'to_register18_en' => 'constant5_op_net_x9',
5745
          'to_register19_ce' => 'ce_1_sg',
5746
          'to_register19_clk' => 'clk_1_sg',
5747
          'to_register19_clr' => [
5748
            'constant',
5749
            '\'0\'',
5750
          ],
5751
          'to_register19_data_in' => 'dma_host2board_done_net_x0',
5752
          'to_register19_dout' => 'to_register19_dout_net',
5753
          'to_register19_en' => 'constant5_op_net_x10',
5754
          'to_register1_ce' => 'ce_1_sg',
5755
          'to_register1_clk' => 'clk_1_sg',
5756
          'to_register1_clr' => [
5757
            'constant',
5758
            '\'0\'',
5759
          ],
5760
          'to_register1_data_in' => 'debug_in_2i_net_x0',
5761
          'to_register1_dout' => 'to_register1_dout_net',
5762
          'to_register1_en' => 'constant5_op_net_x0',
5763
          'to_register20_ce' => 'ce_1_sg',
5764
          'to_register20_clk' => 'clk_1_sg',
5765
          'to_register20_clr' => [
5766
            'constant',
5767
            '\'0\'',
5768
          ],
5769
          'to_register20_data_in' => 'debug_in_4i_net_x0',
5770
          'to_register20_dout' => 'to_register20_dout_net',
5771
          'to_register20_en' => 'constant5_op_net_x12',
5772
          'to_register21_ce' => 'ce_1_sg',
5773
          'to_register21_clk' => 'clk_1_sg',
5774
          'to_register21_clr' => [
5775
            'constant',
5776
            '\'0\'',
5777
          ],
5778
          'to_register21_data_in' => 'reg09_tv_net_x0',
5779
          'to_register21_dout' => 'to_register21_dout_net',
5780
          'to_register21_en' => 'constant1_op_net_x0',
5781
          'to_register22_ce' => 'ce_1_sg',
5782
          'to_register22_clk' => 'clk_1_sg',
5783
          'to_register22_clr' => [
5784
            'constant',
5785
            '\'0\'',
5786
          ],
5787
          'to_register22_data_in' => 'reg09_td_net_x0',
5788
          'to_register22_dout' => 'to_register22_dout_net',
5789
          'to_register22_en' => 'constant1_op_net_x1',
5790
          'to_register23_ce' => 'ce_1_sg',
5791
          'to_register23_clk' => 'clk_1_sg',
5792
          'to_register23_clr' => [
5793
            'constant',
5794
            '\'0\'',
5795
          ],
5796
          'to_register23_data_in' => 'reg10_tv_net_x0',
5797
          'to_register23_dout' => 'to_register23_dout_net',
5798
          'to_register23_en' => 'constant1_op_net_x2',
5799
          'to_register24_ce' => 'ce_1_sg',
5800
          'to_register24_clk' => 'clk_1_sg',
5801
          'to_register24_clr' => [
5802
            'constant',
5803
            '\'0\'',
5804
          ],
5805
          'to_register24_data_in' => 'reg10_td_net_x0',
5806
          'to_register24_dout' => 'to_register24_dout_net',
5807
          'to_register24_en' => 'constant1_op_net_x3',
5808
          'to_register25_ce' => 'ce_1_sg',
5809
          'to_register25_clk' => 'clk_1_sg',
5810
          'to_register25_clr' => [
5811
            'constant',
5812
            '\'0\'',
5813
          ],
5814
          'to_register25_data_in' => 'reg08_tv_net_x0',
5815
          'to_register25_dout' => 'to_register25_dout_net',
5816
          'to_register25_en' => 'constant1_op_net_x4',
5817
          'to_register26_ce' => 'ce_1_sg',
5818
          'to_register26_clk' => 'clk_1_sg',
5819
          'to_register26_clr' => [
5820
            'constant',
5821
            '\'0\'',
5822
          ],
5823
          'to_register26_data_in' => 'reg08_td_net_x0',
5824
          'to_register26_dout' => 'to_register26_dout_net',
5825
          'to_register26_en' => 'constant1_op_net_x5',
5826
          'to_register27_ce' => 'ce_1_sg',
5827
          'to_register27_clk' => 'clk_1_sg',
5828
          'to_register27_clr' => [
5829
            'constant',
5830
            '\'0\'',
5831
          ],
5832
          'to_register27_data_in' => 'reg11_tv_net_x0',
5833
          'to_register27_dout' => 'to_register27_dout_net',
5834
          'to_register27_en' => 'constant1_op_net_x6',
5835
          'to_register28_ce' => 'ce_1_sg',
5836
          'to_register28_clk' => 'clk_1_sg',
5837
          'to_register28_clr' => [
5838
            'constant',
5839
            '\'0\'',
5840
          ],
5841
          'to_register28_data_in' => 'reg11_td_net_x0',
5842
          'to_register28_dout' => 'to_register28_dout_net',
5843
          'to_register28_en' => 'constant1_op_net_x7',
5844
          'to_register29_ce' => 'ce_1_sg',
5845
          'to_register29_clk' => 'clk_1_sg',
5846
          'to_register29_clr' => [
5847
            'constant',
5848
            '\'0\'',
5849
          ],
5850
          'to_register29_data_in' => 'reg12_tv_net_x0',
5851
          'to_register29_dout' => 'to_register29_dout_net',
5852
          'to_register29_en' => 'constant1_op_net_x8',
5853
          'to_register2_ce' => 'ce_1_sg',
5854
          'to_register2_clk' => 'clk_1_sg',
5855
          'to_register2_clr' => [
5856
            'constant',
5857
            '\'0\'',
5858
          ],
5859
          'to_register2_data_in' => 'debug_in_3i_net_x0',
5860
          'to_register2_dout' => 'to_register2_dout_net',
5861
          'to_register2_en' => 'constant5_op_net_x11',
5862
          'to_register30_ce' => 'ce_1_sg',
5863
          'to_register30_clk' => 'clk_1_sg',
5864
          'to_register30_clr' => [
5865
            'constant',
5866
            '\'0\'',
5867
          ],
5868
          'to_register30_data_in' => 'reg12_td_net_x0',
5869
          'to_register30_dout' => 'to_register30_dout_net',
5870
          'to_register30_en' => 'constant1_op_net_x9',
5871
          'to_register31_ce' => 'ce_1_sg',
5872
          'to_register31_clk' => 'clk_1_sg',
5873
          'to_register31_clr' => [
5874
            'constant',
5875
            '\'0\'',
5876
          ],
5877
          'to_register31_data_in' => 'reg13_tv_net_x0',
5878
          'to_register31_dout' => 'to_register31_dout_net',
5879
          'to_register31_en' => 'constant1_op_net_x10',
5880
          'to_register32_ce' => 'ce_1_sg',
5881
          'to_register32_clk' => 'clk_1_sg',
5882
          'to_register32_clr' => [
5883
            'constant',
5884
            '\'0\'',
5885
          ],
5886
          'to_register32_data_in' => 'reg13_td_net_x0',
5887
          'to_register32_dout' => 'to_register32_dout_net',
5888
          'to_register32_en' => 'constant1_op_net_x11',
5889
          'to_register33_ce' => 'ce_1_sg',
5890
          'to_register33_clk' => 'clk_1_sg',
5891
          'to_register33_clr' => [
5892
            'constant',
5893
            '\'0\'',
5894
          ],
5895
          'to_register33_data_in' => 'reg14_tv_net_x0',
5896
          'to_register33_dout' => 'to_register33_dout_net',
5897
          'to_register33_en' => 'constant1_op_net_x12',
5898
          'to_register34_ce' => 'ce_1_sg',
5899
          'to_register34_clk' => 'clk_1_sg',
5900
          'to_register34_clr' => [
5901
            'constant',
5902
            '\'0\'',
5903
          ],
5904
          'to_register34_data_in' => 'reg14_td_net_x0',
5905
          'to_register34_dout' => 'to_register34_dout_net',
5906
          'to_register34_en' => 'constant1_op_net_x13',
5907
          'to_register3_ce' => 'ce_1_sg',
5908
          'to_register3_clk' => 'clk_1_sg',
5909
          'to_register3_clr' => [
5910
            'constant',
5911
            '\'0\'',
5912
          ],
5913
          'to_register3_data_in' => 'reg01_tv_net_x0',
5914
          'to_register3_dout' => 'to_register3_dout_net',
5915
          'to_register3_en' => 'constant5_op_net_x13',
5916
          'to_register4_ce' => 'ce_1_sg',
5917
          'to_register4_clk' => 'clk_1_sg',
5918
          'to_register4_clr' => [
5919
            'constant',
5920
            '\'0\'',
5921
          ],
5922
          'to_register4_data_in' => 'reg02_tv_net_x0',
5923
          'to_register4_dout' => 'to_register4_dout_net',
5924
          'to_register4_en' => 'constant5_op_net_x14',
5925
          'to_register5_ce' => 'ce_1_sg',
5926
          'to_register5_clk' => 'clk_1_sg',
5927
          'to_register5_clr' => [
5928
            'constant',
5929
            '\'0\'',
5930
          ],
5931
          'to_register5_data_in' => 'reg02_td_net_x0',
5932
          'to_register5_dout' => 'to_register5_dout_net',
5933
          'to_register5_en' => 'constant5_op_net_x15',
5934
          'to_register6_ce' => 'ce_1_sg',
5935
          'to_register6_clk' => 'clk_1_sg',
5936
          'to_register6_clr' => [
5937
            'constant',
5938
            '\'0\'',
5939
          ],
5940
          'to_register6_data_in' => 'debug_in_1i_net_x0',
5941
          'to_register6_dout' => 'to_register6_dout_net',
5942
          'to_register6_en' => 'constant5_op_net_x16',
5943
          'to_register7_ce' => 'ce_1_sg',
5944
          'to_register7_clk' => 'clk_1_sg',
5945
          'to_register7_clr' => [
5946
            'constant',
5947
            '\'0\'',
5948
          ],
5949
          'to_register7_data_in' => 'reg01_td_net_x0',
5950
          'to_register7_dout' => 'to_register7_dout_net',
5951
          'to_register7_en' => 'constant5_op_net_x17',
5952
          'to_register8_ce' => 'ce_1_sg',
5953
          'to_register8_clk' => 'clk_1_sg',
5954
          'to_register8_clr' => [
5955
            'constant',
5956
            '\'0\'',
5957
          ],
5958
          'to_register8_data_in' => 'reg03_tv_net_x0',
5959
          'to_register8_dout' => 'to_register8_dout_net',
5960
          'to_register8_en' => 'constant5_op_net_x18',
5961
          'to_register9_ce' => 'ce_1_sg',
5962
          'to_register9_clk' => 'clk_1_sg',
5963
          'to_register9_clr' => [
5964
            'constant',
5965
            '\'0\'',
5966
          ],
5967
          'to_register9_data_in' => 'reg03_td_net_x0',
5968
          'to_register9_dout' => 'to_register9_dout_net',
5969
          'to_register9_en' => 'constant5_op_net_x19',
5970
        },
5971
        'entityName' => 'inout_logic_cw',
5972
        'nets' => {
5973
          'ce_1_sg' => {
5974
            'attributes' => {
5975
              'hdlNetAttributes' => [
5976
                [
5977
                  'MAX_FANOUT',
5978
                  'string',
5979
                  '"REDUCE"',
5980
                ],
5981
              ],
5982
            },
5983
            'hdlType' => 'std_logic',
5984
            'width' => 1,
5985
          },
5986
          'clkNet' => {
5987
            'attributes' => {
5988
              'hdlNetAttributes' => [
5989
              ],
5990
            },
5991
            'hdlType' => 'std_logic',
5992
            'width' => 1,
5993
          },
5994
          'clk_1_sg' => {
5995
            'attributes' => {
5996
              'hdlNetAttributes' => [
5997
              ],
5998
            },
5999
            'hdlType' => 'std_logic',
6000
            'width' => 1,
6001
          },
6002
          'constant1_op_net_x0' => {
6003
            'attributes' => {
6004
              'hdlNetAttributes' => [
6005
              ],
6006
            },
6007
            'hdlType' => 'std_logic',
6008
            'width' => 1,
6009
          },
6010
          'constant1_op_net_x1' => {
6011
            'attributes' => {
6012
              'hdlNetAttributes' => [
6013
              ],
6014
            },
6015
            'hdlType' => 'std_logic',
6016
            'width' => 1,
6017
          },
6018
          'constant1_op_net_x10' => {
6019
            'attributes' => {
6020
              'hdlNetAttributes' => [
6021
              ],
6022
            },
6023
            'hdlType' => 'std_logic',
6024
            'width' => 1,
6025
          },
6026
          'constant1_op_net_x11' => {
6027
            'attributes' => {
6028
              'hdlNetAttributes' => [
6029
              ],
6030
            },
6031
            'hdlType' => 'std_logic',
6032
            'width' => 1,
6033
          },
6034
          'constant1_op_net_x12' => {
6035
            'attributes' => {
6036
              'hdlNetAttributes' => [
6037
              ],
6038
            },
6039
            'hdlType' => 'std_logic',
6040
            'width' => 1,
6041
          },
6042
          'constant1_op_net_x13' => {
6043
            'attributes' => {
6044
              'hdlNetAttributes' => [
6045
              ],
6046
            },
6047
            'hdlType' => 'std_logic',
6048
            'width' => 1,
6049
          },
6050
          'constant1_op_net_x2' => {
6051
            'attributes' => {
6052
              'hdlNetAttributes' => [
6053
              ],
6054
            },
6055
            'hdlType' => 'std_logic',
6056
            'width' => 1,
6057
          },
6058
          'constant1_op_net_x3' => {
6059
            'attributes' => {
6060
              'hdlNetAttributes' => [
6061
              ],
6062
            },
6063
            'hdlType' => 'std_logic',
6064
            'width' => 1,
6065
          },
6066
          'constant1_op_net_x4' => {
6067
            'attributes' => {
6068
              'hdlNetAttributes' => [
6069
              ],
6070
            },
6071
            'hdlType' => 'std_logic',
6072
            'width' => 1,
6073
          },
6074
          'constant1_op_net_x5' => {
6075
            'attributes' => {
6076
              'hdlNetAttributes' => [
6077
              ],
6078
            },
6079
            'hdlType' => 'std_logic',
6080
            'width' => 1,
6081
          },
6082
          'constant1_op_net_x6' => {
6083
            'attributes' => {
6084
              'hdlNetAttributes' => [
6085
              ],
6086
            },
6087
            'hdlType' => 'std_logic',
6088
            'width' => 1,
6089
          },
6090
          'constant1_op_net_x7' => {
6091
            'attributes' => {
6092
              'hdlNetAttributes' => [
6093
              ],
6094
            },
6095
            'hdlType' => 'std_logic',
6096
            'width' => 1,
6097
          },
6098
          'constant1_op_net_x8' => {
6099
            'attributes' => {
6100
              'hdlNetAttributes' => [
6101
              ],
6102
            },
6103
            'hdlType' => 'std_logic',
6104
            'width' => 1,
6105
          },
6106
          'constant1_op_net_x9' => {
6107
            'attributes' => {
6108
              'hdlNetAttributes' => [
6109
              ],
6110
            },
6111
            'hdlType' => 'std_logic',
6112
            'width' => 1,
6113
          },
6114
          'constant5_op_net_x0' => {
6115
            'attributes' => {
6116
              'hdlNetAttributes' => [
6117
              ],
6118
            },
6119
            'hdlType' => 'std_logic',
6120
            'width' => 1,
6121
          },
6122
          'constant5_op_net_x1' => {
6123
            'attributes' => {
6124
              'hdlNetAttributes' => [
6125
              ],
6126
            },
6127
            'hdlType' => 'std_logic',
6128
            'width' => 1,
6129
          },
6130
          'constant5_op_net_x10' => {
6131
            'attributes' => {
6132
              'hdlNetAttributes' => [
6133
              ],
6134
            },
6135
            'hdlType' => 'std_logic',
6136
            'width' => 1,
6137
          },
6138
          'constant5_op_net_x11' => {
6139
            'attributes' => {
6140
              'hdlNetAttributes' => [
6141
              ],
6142
            },
6143
            'hdlType' => 'std_logic',
6144
            'width' => 1,
6145
          },
6146
          'constant5_op_net_x12' => {
6147
            'attributes' => {
6148
              'hdlNetAttributes' => [
6149
              ],
6150
            },
6151
            'hdlType' => 'std_logic',
6152
            'width' => 1,
6153
          },
6154
          'constant5_op_net_x13' => {
6155
            'attributes' => {
6156
              'hdlNetAttributes' => [
6157
              ],
6158
            },
6159
            'hdlType' => 'std_logic',
6160
            'width' => 1,
6161
          },
6162
          'constant5_op_net_x14' => {
6163
            'attributes' => {
6164
              'hdlNetAttributes' => [
6165
              ],
6166
            },
6167
            'hdlType' => 'std_logic',
6168
            'width' => 1,
6169
          },
6170
          'constant5_op_net_x15' => {
6171
            'attributes' => {
6172
              'hdlNetAttributes' => [
6173
              ],
6174
            },
6175
            'hdlType' => 'std_logic',
6176
            'width' => 1,
6177
          },
6178
          'constant5_op_net_x16' => {
6179
            'attributes' => {
6180
              'hdlNetAttributes' => [
6181
              ],
6182
            },
6183
            'hdlType' => 'std_logic',
6184
            'width' => 1,
6185
          },
6186
          'constant5_op_net_x17' => {
6187
            'attributes' => {
6188
              'hdlNetAttributes' => [
6189
              ],
6190
            },
6191
            'hdlType' => 'std_logic',
6192
            'width' => 1,
6193
          },
6194
          'constant5_op_net_x18' => {
6195
            'attributes' => {
6196
              'hdlNetAttributes' => [
6197
              ],
6198
            },
6199
            'hdlType' => 'std_logic',
6200
            'width' => 1,
6201
          },
6202
          'constant5_op_net_x19' => {
6203
            'attributes' => {
6204
              'hdlNetAttributes' => [
6205
              ],
6206
            },
6207
            'hdlType' => 'std_logic',
6208
            'width' => 1,
6209
          },
6210
          'constant5_op_net_x2' => {
6211
            'attributes' => {
6212
              'hdlNetAttributes' => [
6213
              ],
6214
            },
6215
            'hdlType' => 'std_logic',
6216
            'width' => 1,
6217
          },
6218
          'constant5_op_net_x3' => {
6219
            'attributes' => {
6220
              'hdlNetAttributes' => [
6221
              ],
6222
            },
6223
            'hdlType' => 'std_logic',
6224
            'width' => 1,
6225
          },
6226
          'constant5_op_net_x4' => {
6227
            'attributes' => {
6228
              'hdlNetAttributes' => [
6229
              ],
6230
            },
6231
            'hdlType' => 'std_logic',
6232
            'width' => 1,
6233
          },
6234
          'constant5_op_net_x5' => {
6235
            'attributes' => {
6236
              'hdlNetAttributes' => [
6237
              ],
6238
            },
6239
            'hdlType' => 'std_logic',
6240
            'width' => 1,
6241
          },
6242
          'constant5_op_net_x6' => {
6243
            'attributes' => {
6244
              'hdlNetAttributes' => [
6245
              ],
6246
            },
6247
            'hdlType' => 'std_logic',
6248
            'width' => 1,
6249
          },
6250
          'constant5_op_net_x7' => {
6251
            'attributes' => {
6252
              'hdlNetAttributes' => [
6253
              ],
6254
            },
6255
            'hdlType' => 'std_logic',
6256
            'width' => 1,
6257
          },
6258
          'constant5_op_net_x8' => {
6259
            'attributes' => {
6260
              'hdlNetAttributes' => [
6261
              ],
6262
            },
6263
            'hdlType' => 'std_logic',
6264
            'width' => 1,
6265
          },
6266
          'constant5_op_net_x9' => {
6267
            'attributes' => {
6268
              'hdlNetAttributes' => [
6269
              ],
6270
            },
6271
            'hdlType' => 'std_logic',
6272
            'width' => 1,
6273
          },
6274
          'debug_in_1i_net' => {
6275
            'attributes' => {
6276
              'hdlNetAttributes' => [
6277
              ],
6278
            },
6279
            'hdlType' => 'std_logic_vector(31 downto 0)',
6280
            'width' => 32,
6281
          },
6282
          'debug_in_1i_net_x0' => {
6283
            'attributes' => {
6284
              'hdlNetAttributes' => [
6285
              ],
6286
            },
6287
            'hdlType' => 'std_logic_vector(31 downto 0)',
6288
            'width' => 32,
6289
          },
6290
          'debug_in_2i_net' => {
6291
            'attributes' => {
6292
              'hdlNetAttributes' => [
6293
              ],
6294
            },
6295
            'hdlType' => 'std_logic_vector(31 downto 0)',
6296
            'width' => 32,
6297
          },
6298
          'debug_in_2i_net_x0' => {
6299
            'attributes' => {
6300
              'hdlNetAttributes' => [
6301
              ],
6302
            },
6303
            'hdlType' => 'std_logic_vector(31 downto 0)',
6304
            'width' => 32,
6305
          },
6306
          'debug_in_3i_net' => {
6307
            'attributes' => {
6308
              'hdlNetAttributes' => [
6309
              ],
6310
            },
6311
            'hdlType' => 'std_logic_vector(31 downto 0)',
6312
            'width' => 32,
6313
          },
6314
          'debug_in_3i_net_x0' => {
6315
            'attributes' => {
6316
              'hdlNetAttributes' => [
6317
              ],
6318
            },
6319
            'hdlType' => 'std_logic_vector(31 downto 0)',
6320
            'width' => 32,
6321
          },
6322
          'debug_in_4i_net' => {
6323
            'attributes' => {
6324
              'hdlNetAttributes' => [
6325
              ],
6326
            },
6327
            'hdlType' => 'std_logic_vector(31 downto 0)',
6328
            'width' => 32,
6329
          },
6330
          'debug_in_4i_net_x0' => {
6331
            'attributes' => {
6332
              'hdlNetAttributes' => [
6333
              ],
6334
            },
6335
            'hdlType' => 'std_logic_vector(31 downto 0)',
6336
            'width' => 32,
6337
          },
6338
          'dma_host2board_busy_net' => {
6339
            'attributes' => {
6340
              'hdlNetAttributes' => [
6341
              ],
6342
            },
6343
            'hdlType' => 'std_logic',
6344
            'width' => 1,
6345
          },
6346
          'dma_host2board_busy_net_x0' => {
6347
            'attributes' => {
6348
              'hdlNetAttributes' => [
6349
              ],
6350
            },
6351
            'hdlType' => 'std_logic',
6352
            'width' => 1,
6353
          },
6354
          'dma_host2board_done_net' => {
6355
            'attributes' => {
6356
              'hdlNetAttributes' => [
6357
              ],
6358
            },
6359
            'hdlType' => 'std_logic',
6360
            'width' => 1,
6361
          },
6362
          'dma_host2board_done_net_x0' => {
6363
            'attributes' => {
6364
              'hdlNetAttributes' => [
6365
              ],
6366
            },
6367
            'hdlType' => 'std_logic',
6368
            'width' => 1,
6369
          },
6370
          'from_register10_data_out_net' => {
6371
            'attributes' => {
6372
              'hdlNetAttributes' => [
6373
              ],
6374
            },
6375
            'hdlType' => 'std_logic_vector(31 downto 0)',
6376
            'width' => 32,
6377
          },
6378
          'from_register10_data_out_net_x0' => {
6379
            'attributes' => {
6380
              'hdlNetAttributes' => [
6381
              ],
6382
            },
6383
            'hdlType' => 'std_logic_vector(31 downto 0)',
6384
            'width' => 32,
6385
          },
6386
          'from_register11_data_out_net' => {
6387
            'attributes' => {
6388
              'hdlNetAttributes' => [
6389
              ],
6390
            },
6391
            'hdlType' => 'std_logic_vector(31 downto 0)',
6392
            'width' => 32,
6393
          },
6394
          'from_register11_data_out_net_x0' => {
6395
            'attributes' => {
6396
              'hdlNetAttributes' => [
6397
              ],
6398
            },
6399
            'hdlType' => 'std_logic_vector(31 downto 0)',
6400
            'width' => 32,
6401
          },
6402
          'from_register12_data_out_net' => {
6403
            'attributes' => {
6404
              'hdlNetAttributes' => [
6405
              ],
6406
            },
6407
            'hdlType' => 'std_logic',
6408
            'width' => 1,
6409
          },
6410
          'from_register12_data_out_net_x0' => {
6411
            'attributes' => {
6412
              'hdlNetAttributes' => [
6413
              ],
6414
            },
6415
            'hdlType' => 'std_logic',
6416
            'width' => 1,
6417
          },
6418
          'from_register13_data_out_net' => {
6419
            'attributes' => {
6420
              'hdlNetAttributes' => [
6421
              ],
6422
            },
6423
            'hdlType' => 'std_logic_vector(31 downto 0)',
6424
            'width' => 32,
6425
          },
6426
          'from_register13_data_out_net_x0' => {
6427
            'attributes' => {
6428
              'hdlNetAttributes' => [
6429
              ],
6430
            },
6431
            'hdlType' => 'std_logic_vector(31 downto 0)',
6432
            'width' => 32,
6433
          },
6434
          'from_register14_data_out_net' => {
6435
            'attributes' => {
6436
              'hdlNetAttributes' => [
6437
              ],
6438
            },
6439
            'hdlType' => 'std_logic',
6440
            'width' => 1,
6441
          },
6442
          'from_register14_data_out_net_x0' => {
6443
            'attributes' => {
6444
              'hdlNetAttributes' => [
6445
              ],
6446
            },
6447
            'hdlType' => 'std_logic',
6448
            'width' => 1,
6449
          },
6450
          'from_register15_data_out_net' => {
6451
            'attributes' => {
6452
              'hdlNetAttributes' => [
6453
              ],
6454
            },
6455
            'hdlType' => 'std_logic_vector(31 downto 0)',
6456
            'width' => 32,
6457
          },
6458
          'from_register15_data_out_net_x0' => {
6459
            'attributes' => {
6460
              'hdlNetAttributes' => [
6461
              ],
6462
            },
6463
            'hdlType' => 'std_logic_vector(31 downto 0)',
6464
            'width' => 32,
6465
          },
6466
          'from_register16_data_out_net' => {
6467
            'attributes' => {
6468
              'hdlNetAttributes' => [
6469
              ],
6470
            },
6471
            'hdlType' => 'std_logic',
6472
            'width' => 1,
6473
          },
6474
          'from_register16_data_out_net_x0' => {
6475
            'attributes' => {
6476
              'hdlNetAttributes' => [
6477
              ],
6478
            },
6479
            'hdlType' => 'std_logic',
6480
            'width' => 1,
6481
          },
6482
          'from_register17_data_out_net' => {
6483
            'attributes' => {
6484
              'hdlNetAttributes' => [
6485
              ],
6486
            },
6487
            'hdlType' => 'std_logic_vector(31 downto 0)',
6488
            'width' => 32,
6489
          },
6490
          'from_register17_data_out_net_x0' => {
6491
            'attributes' => {
6492
              'hdlNetAttributes' => [
6493
              ],
6494
            },
6495
            'hdlType' => 'std_logic_vector(31 downto 0)',
6496
            'width' => 32,
6497
          },
6498
          'from_register18_data_out_net' => {
6499
            'attributes' => {
6500
              'hdlNetAttributes' => [
6501
              ],
6502
            },
6503
            'hdlType' => 'std_logic',
6504
            'width' => 1,
6505
          },
6506
          'from_register18_data_out_net_x0' => {
6507
            'attributes' => {
6508
              'hdlNetAttributes' => [
6509
              ],
6510
            },
6511
            'hdlType' => 'std_logic',
6512
            'width' => 1,
6513
          },
6514
          'from_register19_data_out_net' => {
6515
            'attributes' => {
6516
              'hdlNetAttributes' => [
6517
              ],
6518
            },
6519
            'hdlType' => 'std_logic_vector(31 downto 0)',
6520
            'width' => 32,
6521
          },
6522
          'from_register19_data_out_net_x0' => {
6523
            'attributes' => {
6524
              'hdlNetAttributes' => [
6525
              ],
6526
            },
6527
            'hdlType' => 'std_logic_vector(31 downto 0)',
6528
            'width' => 32,
6529
          },
6530
          'from_register1_data_out_net' => {
6531
            'attributes' => {
6532
              'hdlNetAttributes' => [
6533
              ],
6534
            },
6535
            'hdlType' => 'std_logic',
6536
            'width' => 1,
6537
          },
6538
          'from_register1_data_out_net_x0' => {
6539
            'attributes' => {
6540
              'hdlNetAttributes' => [
6541
              ],
6542
            },
6543
            'hdlType' => 'std_logic',
6544
            'width' => 1,
6545
          },
6546
          'from_register20_data_out_net' => {
6547
            'attributes' => {
6548
              'hdlNetAttributes' => [
6549
              ],
6550
            },
6551
            'hdlType' => 'std_logic',
6552
            'width' => 1,
6553
          },
6554
          'from_register20_data_out_net_x0' => {
6555
            'attributes' => {
6556
              'hdlNetAttributes' => [
6557
              ],
6558
            },
6559
            'hdlType' => 'std_logic',
6560
            'width' => 1,
6561
          },
6562
          'from_register21_data_out_net' => {
6563
            'attributes' => {
6564
              'hdlNetAttributes' => [
6565
              ],
6566
            },
6567
            'hdlType' => 'std_logic_vector(31 downto 0)',
6568
            'width' => 32,
6569
          },
6570
          'from_register21_data_out_net_x0' => {
6571
            'attributes' => {
6572
              'hdlNetAttributes' => [
6573
              ],
6574
            },
6575
            'hdlType' => 'std_logic_vector(31 downto 0)',
6576
            'width' => 32,
6577
          },
6578
          'from_register22_data_out_net' => {
6579
            'attributes' => {
6580
              'hdlNetAttributes' => [
6581
              ],
6582
            },
6583
            'hdlType' => 'std_logic',
6584
            'width' => 1,
6585
          },
6586
          'from_register22_data_out_net_x0' => {
6587
            'attributes' => {
6588
              'hdlNetAttributes' => [
6589
              ],
6590
            },
6591
            'hdlType' => 'std_logic',
6592
            'width' => 1,
6593
          },
6594
          'from_register23_data_out_net' => {
6595
            'attributes' => {
6596
              'hdlNetAttributes' => [
6597
              ],
6598
            },
6599
            'hdlType' => 'std_logic_vector(31 downto 0)',
6600
            'width' => 32,
6601
          },
6602
          'from_register23_data_out_net_x0' => {
6603
            'attributes' => {
6604
              'hdlNetAttributes' => [
6605
              ],
6606
            },
6607
            'hdlType' => 'std_logic_vector(31 downto 0)',
6608
            'width' => 32,
6609
          },
6610
          'from_register24_data_out_net' => {
6611
            'attributes' => {
6612
              'hdlNetAttributes' => [
6613
              ],
6614
            },
6615
            'hdlType' => 'std_logic',
6616
            'width' => 1,
6617
          },
6618
          'from_register24_data_out_net_x0' => {
6619
            'attributes' => {
6620
              'hdlNetAttributes' => [
6621
              ],
6622
            },
6623
            'hdlType' => 'std_logic',
6624
            'width' => 1,
6625
          },
6626
          'from_register25_data_out_net' => {
6627
            'attributes' => {
6628
              'hdlNetAttributes' => [
6629
              ],
6630
            },
6631
            'hdlType' => 'std_logic_vector(31 downto 0)',
6632
            'width' => 32,
6633
          },
6634
          'from_register25_data_out_net_x0' => {
6635
            'attributes' => {
6636
              'hdlNetAttributes' => [
6637
              ],
6638
            },
6639
            'hdlType' => 'std_logic_vector(31 downto 0)',
6640
            'width' => 32,
6641
          },
6642
          'from_register26_data_out_net' => {
6643
            'attributes' => {
6644
              'hdlNetAttributes' => [
6645
              ],
6646
            },
6647
            'hdlType' => 'std_logic',
6648
            'width' => 1,
6649
          },
6650
          'from_register26_data_out_net_x0' => {
6651
            'attributes' => {
6652
              'hdlNetAttributes' => [
6653
              ],
6654
            },
6655
            'hdlType' => 'std_logic',
6656
            'width' => 1,
6657
          },
6658
          'from_register27_data_out_net' => {
6659
            'attributes' => {
6660
              'hdlNetAttributes' => [
6661
              ],
6662
            },
6663
            'hdlType' => 'std_logic_vector(31 downto 0)',
6664
            'width' => 32,
6665
          },
6666
          'from_register27_data_out_net_x0' => {
6667
            'attributes' => {
6668
              'hdlNetAttributes' => [
6669
              ],
6670
            },
6671
            'hdlType' => 'std_logic_vector(31 downto 0)',
6672
            'width' => 32,
6673
          },
6674
          'from_register28_data_out_net' => {
6675
            'attributes' => {
6676
              'hdlNetAttributes' => [
6677
              ],
6678
            },
6679
            'hdlType' => 'std_logic',
6680
            'width' => 1,
6681
          },
6682
          'from_register28_data_out_net_x0' => {
6683
            'attributes' => {
6684
              'hdlNetAttributes' => [
6685
              ],
6686
            },
6687
            'hdlType' => 'std_logic',
6688
            'width' => 1,
6689
          },
6690
          'from_register2_data_out_net' => {
6691
            'attributes' => {
6692
              'hdlNetAttributes' => [
6693
              ],
6694
            },
6695
            'hdlType' => 'std_logic',
6696
            'width' => 1,
6697
          },
6698
          'from_register2_data_out_net_x0' => {
6699
            'attributes' => {
6700
              'hdlNetAttributes' => [
6701
              ],
6702
            },
6703
            'hdlType' => 'std_logic',
6704
            'width' => 1,
6705
          },
6706
          'from_register3_data_out_net' => {
6707
            'attributes' => {
6708
              'hdlNetAttributes' => [
6709
              ],
6710
            },
6711
            'hdlType' => 'std_logic_vector(31 downto 0)',
6712
            'width' => 32,
6713
          },
6714
          'from_register3_data_out_net_x0' => {
6715
            'attributes' => {
6716
              'hdlNetAttributes' => [
6717
              ],
6718
            },
6719
            'hdlType' => 'std_logic_vector(31 downto 0)',
6720
            'width' => 32,
6721
          },
6722
          'from_register4_data_out_net' => {
6723
            'attributes' => {
6724
              'hdlNetAttributes' => [
6725
              ],
6726
            },
6727
            'hdlType' => 'std_logic',
6728
            'width' => 1,
6729
          },
6730
          'from_register4_data_out_net_x0' => {
6731
            'attributes' => {
6732
              'hdlNetAttributes' => [
6733
              ],
6734
            },
6735
            'hdlType' => 'std_logic',
6736
            'width' => 1,
6737
          },
6738
          'from_register5_data_out_net' => {
6739
            'attributes' => {
6740
              'hdlNetAttributes' => [
6741
              ],
6742
            },
6743
            'hdlType' => 'std_logic_vector(31 downto 0)',
6744
            'width' => 32,
6745
          },
6746
          'from_register5_data_out_net_x0' => {
6747
            'attributes' => {
6748
              'hdlNetAttributes' => [
6749
              ],
6750
            },
6751
            'hdlType' => 'std_logic_vector(31 downto 0)',
6752
            'width' => 32,
6753
          },
6754
          'from_register6_data_out_net' => {
6755
            'attributes' => {
6756
              'hdlNetAttributes' => [
6757
              ],
6758
            },
6759
            'hdlType' => 'std_logic',
6760
            'width' => 1,
6761
          },
6762
          'from_register6_data_out_net_x0' => {
6763
            'attributes' => {
6764
              'hdlNetAttributes' => [
6765
              ],
6766
            },
6767
            'hdlType' => 'std_logic',
6768
            'width' => 1,
6769
          },
6770
          'from_register7_data_out_net' => {
6771
            'attributes' => {
6772
              'hdlNetAttributes' => [
6773
              ],
6774
            },
6775
            'hdlType' => 'std_logic_vector(31 downto 0)',
6776
            'width' => 32,
6777
          },
6778
          'from_register7_data_out_net_x0' => {
6779
            'attributes' => {
6780
              'hdlNetAttributes' => [
6781
              ],
6782
            },
6783
            'hdlType' => 'std_logic_vector(31 downto 0)',
6784
            'width' => 32,
6785
          },
6786
          'from_register8_data_out_net' => {
6787
            'attributes' => {
6788
              'hdlNetAttributes' => [
6789
              ],
6790
            },
6791
            'hdlType' => 'std_logic_vector(31 downto 0)',
6792
            'width' => 32,
6793
          },
6794
          'from_register8_data_out_net_x0' => {
6795
            'attributes' => {
6796
              'hdlNetAttributes' => [
6797
              ],
6798
            },
6799
            'hdlType' => 'std_logic_vector(31 downto 0)',
6800
            'width' => 32,
6801
          },
6802
          'from_register9_data_out_net' => {
6803
            'attributes' => {
6804
              'hdlNetAttributes' => [
6805
              ],
6806
            },
6807
            'hdlType' => 'std_logic',
6808
            'width' => 1,
6809
          },
6810
          'from_register9_data_out_net_x0' => {
6811
            'attributes' => {
6812
              'hdlNetAttributes' => [
6813
              ],
6814
            },
6815
            'hdlType' => 'std_logic',
6816
            'width' => 1,
6817
          },
6818
          'persistentdff_inst_q' => {
6819
            'attributes' => {
6820
              'hdlNetAttributes' => [
6821
                [
6822
                  'syn_keep',
6823
                  'boolean',
6824
                  'true',
6825
                ],
6826
                [
6827
                  'keep',
6828
                  'boolean',
6829
                  'true',
6830
                ],
6831
                [
6832
                  'preserve_signal',
6833
                  'boolean',
6834
                  'true',
6835
                ],
6836
              ],
6837
            },
6838
            'hdlType' => 'std_logic',
6839
            'width' => 1,
6840
          },
6841
          'reg01_td_net' => {
6842
            'attributes' => {
6843
              'hdlNetAttributes' => [
6844
              ],
6845
            },
6846
            'hdlType' => 'std_logic_vector(31 downto 0)',
6847
            'width' => 32,
6848
          },
6849
          'reg01_td_net_x0' => {
6850
            'attributes' => {
6851
              'hdlNetAttributes' => [
6852
              ],
6853
            },
6854
            'hdlType' => 'std_logic_vector(31 downto 0)',
6855
            'width' => 32,
6856
          },
6857
          'reg01_tv_net' => {
6858
            'attributes' => {
6859
              'hdlNetAttributes' => [
6860
              ],
6861
            },
6862
            'hdlType' => 'std_logic',
6863
            'width' => 1,
6864
          },
6865
          'reg01_tv_net_x0' => {
6866
            'attributes' => {
6867
              'hdlNetAttributes' => [
6868
              ],
6869
            },
6870
            'hdlType' => 'std_logic',
6871
            'width' => 1,
6872
          },
6873
          'reg02_td_net' => {
6874
            'attributes' => {
6875
              'hdlNetAttributes' => [
6876
              ],
6877
            },
6878
            'hdlType' => 'std_logic_vector(31 downto 0)',
6879
            'width' => 32,
6880
          },
6881
          'reg02_td_net_x0' => {
6882
            'attributes' => {
6883
              'hdlNetAttributes' => [
6884
              ],
6885
            },
6886
            'hdlType' => 'std_logic_vector(31 downto 0)',
6887
            'width' => 32,
6888
          },
6889
          'reg02_tv_net' => {
6890
            'attributes' => {
6891
              'hdlNetAttributes' => [
6892
              ],
6893
            },
6894
            'hdlType' => 'std_logic',
6895
            'width' => 1,
6896
          },
6897
          'reg02_tv_net_x0' => {
6898
            'attributes' => {
6899
              'hdlNetAttributes' => [
6900
              ],
6901
            },
6902
            'hdlType' => 'std_logic',
6903
            'width' => 1,
6904
          },
6905
          'reg03_td_net' => {
6906
            'attributes' => {
6907
              'hdlNetAttributes' => [
6908
              ],
6909
            },
6910
            'hdlType' => 'std_logic_vector(31 downto 0)',
6911
            'width' => 32,
6912
          },
6913
          'reg03_td_net_x0' => {
6914
            'attributes' => {
6915
              'hdlNetAttributes' => [
6916
              ],
6917
            },
6918
            'hdlType' => 'std_logic_vector(31 downto 0)',
6919
            'width' => 32,
6920
          },
6921
          'reg03_tv_net' => {
6922
            'attributes' => {
6923
              'hdlNetAttributes' => [
6924
              ],
6925
            },
6926
            'hdlType' => 'std_logic',
6927
            'width' => 1,
6928
          },
6929
          'reg03_tv_net_x0' => {
6930
            'attributes' => {
6931
              'hdlNetAttributes' => [
6932
              ],
6933
            },
6934
            'hdlType' => 'std_logic',
6935
            'width' => 1,
6936
          },
6937
          'reg04_td_net' => {
6938
            'attributes' => {
6939
              'hdlNetAttributes' => [
6940
              ],
6941
            },
6942
            'hdlType' => 'std_logic_vector(31 downto 0)',
6943
            'width' => 32,
6944
          },
6945
          'reg04_td_net_x0' => {
6946
            'attributes' => {
6947
              'hdlNetAttributes' => [
6948
              ],
6949
            },
6950
            'hdlType' => 'std_logic_vector(31 downto 0)',
6951
            'width' => 32,
6952
          },
6953
          'reg04_tv_net' => {
6954
            'attributes' => {
6955
              'hdlNetAttributes' => [
6956
              ],
6957
            },
6958
            'hdlType' => 'std_logic',
6959
            'width' => 1,
6960
          },
6961
          'reg04_tv_net_x0' => {
6962
            'attributes' => {
6963
              'hdlNetAttributes' => [
6964
              ],
6965
            },
6966
            'hdlType' => 'std_logic',
6967
            'width' => 1,
6968
          },
6969
          'reg05_td_net' => {
6970
            'attributes' => {
6971
              'hdlNetAttributes' => [
6972
              ],
6973
            },
6974
            'hdlType' => 'std_logic_vector(31 downto 0)',
6975
            'width' => 32,
6976
          },
6977
          'reg05_td_net_x0' => {
6978
            'attributes' => {
6979
              'hdlNetAttributes' => [
6980
              ],
6981
            },
6982
            'hdlType' => 'std_logic_vector(31 downto 0)',
6983
            'width' => 32,
6984
          },
6985
          'reg05_tv_net' => {
6986
            'attributes' => {
6987
              'hdlNetAttributes' => [
6988
              ],
6989
            },
6990
            'hdlType' => 'std_logic',
6991
            'width' => 1,
6992
          },
6993
          'reg05_tv_net_x0' => {
6994
            'attributes' => {
6995
              'hdlNetAttributes' => [
6996
              ],
6997
            },
6998
            'hdlType' => 'std_logic',
6999
            'width' => 1,
7000
          },
7001
          'reg06_td_net' => {
7002
            'attributes' => {
7003
              'hdlNetAttributes' => [
7004
              ],
7005
            },
7006
            'hdlType' => 'std_logic_vector(31 downto 0)',
7007
            'width' => 32,
7008
          },
7009
          'reg06_td_net_x0' => {
7010
            'attributes' => {
7011
              'hdlNetAttributes' => [
7012
              ],
7013
            },
7014
            'hdlType' => 'std_logic_vector(31 downto 0)',
7015
            'width' => 32,
7016
          },
7017
          'reg06_tv_net' => {
7018
            'attributes' => {
7019
              'hdlNetAttributes' => [
7020
              ],
7021
            },
7022
            'hdlType' => 'std_logic',
7023
            'width' => 1,
7024
          },
7025
          'reg06_tv_net_x0' => {
7026
            'attributes' => {
7027
              'hdlNetAttributes' => [
7028
              ],
7029
            },
7030
            'hdlType' => 'std_logic',
7031
            'width' => 1,
7032
          },
7033
          'reg07_td_net' => {
7034
            'attributes' => {
7035
              'hdlNetAttributes' => [
7036
              ],
7037
            },
7038
            'hdlType' => 'std_logic_vector(31 downto 0)',
7039
            'width' => 32,
7040
          },
7041
          'reg07_td_net_x0' => {
7042
            'attributes' => {
7043
              'hdlNetAttributes' => [
7044
              ],
7045
            },
7046
            'hdlType' => 'std_logic_vector(31 downto 0)',
7047
            'width' => 32,
7048
          },
7049
          'reg07_tv_net' => {
7050
            'attributes' => {
7051
              'hdlNetAttributes' => [
7052
              ],
7053
            },
7054
            'hdlType' => 'std_logic',
7055
            'width' => 1,
7056
          },
7057
          'reg07_tv_net_x0' => {
7058
            'attributes' => {
7059
              'hdlNetAttributes' => [
7060
              ],
7061
            },
7062
            'hdlType' => 'std_logic',
7063
            'width' => 1,
7064
          },
7065
          'reg08_td_net' => {
7066
            'attributes' => {
7067
              'hdlNetAttributes' => [
7068
              ],
7069
            },
7070
            'hdlType' => 'std_logic_vector(31 downto 0)',
7071
            'width' => 32,
7072
          },
7073
          'reg08_td_net_x0' => {
7074
            'attributes' => {
7075
              'hdlNetAttributes' => [
7076
              ],
7077
            },
7078
            'hdlType' => 'std_logic_vector(31 downto 0)',
7079
            'width' => 32,
7080
          },
7081
          'reg08_tv_net' => {
7082
            'attributes' => {
7083
              'hdlNetAttributes' => [
7084
              ],
7085
            },
7086
            'hdlType' => 'std_logic',
7087
            'width' => 1,
7088
          },
7089
          'reg08_tv_net_x0' => {
7090
            'attributes' => {
7091
              'hdlNetAttributes' => [
7092
              ],
7093
            },
7094
            'hdlType' => 'std_logic',
7095
            'width' => 1,
7096
          },
7097
          'reg09_td_net' => {
7098
            'attributes' => {
7099
              'hdlNetAttributes' => [
7100
              ],
7101
            },
7102
            'hdlType' => 'std_logic_vector(31 downto 0)',
7103
            'width' => 32,
7104
          },
7105
          'reg09_td_net_x0' => {
7106
            'attributes' => {
7107
              'hdlNetAttributes' => [
7108
              ],
7109
            },
7110
            'hdlType' => 'std_logic_vector(31 downto 0)',
7111
            'width' => 32,
7112
          },
7113
          'reg09_tv_net' => {
7114
            'attributes' => {
7115
              'hdlNetAttributes' => [
7116
              ],
7117
            },
7118
            'hdlType' => 'std_logic',
7119
            'width' => 1,
7120
          },
7121
          'reg09_tv_net_x0' => {
7122
            'attributes' => {
7123
              'hdlNetAttributes' => [
7124
              ],
7125
            },
7126
            'hdlType' => 'std_logic',
7127
            'width' => 1,
7128
          },
7129
          'reg10_td_net' => {
7130
            'attributes' => {
7131
              'hdlNetAttributes' => [
7132
              ],
7133
            },
7134
            'hdlType' => 'std_logic_vector(31 downto 0)',
7135
            'width' => 32,
7136
          },
7137
          'reg10_td_net_x0' => {
7138
            'attributes' => {
7139
              'hdlNetAttributes' => [
7140
              ],
7141
            },
7142
            'hdlType' => 'std_logic_vector(31 downto 0)',
7143
            'width' => 32,
7144
          },
7145
          'reg10_tv_net' => {
7146
            'attributes' => {
7147
              'hdlNetAttributes' => [
7148
              ],
7149
            },
7150
            'hdlType' => 'std_logic',
7151
            'width' => 1,
7152
          },
7153
          'reg10_tv_net_x0' => {
7154
            'attributes' => {
7155
              'hdlNetAttributes' => [
7156
              ],
7157
            },
7158
            'hdlType' => 'std_logic',
7159
            'width' => 1,
7160
          },
7161
          'reg11_td_net' => {
7162
            'attributes' => {
7163
              'hdlNetAttributes' => [
7164
              ],
7165
            },
7166
            'hdlType' => 'std_logic_vector(31 downto 0)',
7167
            'width' => 32,
7168
          },
7169
          'reg11_td_net_x0' => {
7170
            'attributes' => {
7171
              'hdlNetAttributes' => [
7172
              ],
7173
            },
7174
            'hdlType' => 'std_logic_vector(31 downto 0)',
7175
            'width' => 32,
7176
          },
7177
          'reg11_tv_net' => {
7178
            'attributes' => {
7179
              'hdlNetAttributes' => [
7180
              ],
7181
            },
7182
            'hdlType' => 'std_logic',
7183
            'width' => 1,
7184
          },
7185
          'reg11_tv_net_x0' => {
7186
            'attributes' => {
7187
              'hdlNetAttributes' => [
7188
              ],
7189
            },
7190
            'hdlType' => 'std_logic',
7191
            'width' => 1,
7192
          },
7193
          'reg12_td_net' => {
7194
            'attributes' => {
7195
              'hdlNetAttributes' => [
7196
              ],
7197
            },
7198
            'hdlType' => 'std_logic_vector(31 downto 0)',
7199
            'width' => 32,
7200
          },
7201
          'reg12_td_net_x0' => {
7202
            'attributes' => {
7203
              'hdlNetAttributes' => [
7204
              ],
7205
            },
7206
            'hdlType' => 'std_logic_vector(31 downto 0)',
7207
            'width' => 32,
7208
          },
7209
          'reg12_tv_net' => {
7210
            'attributes' => {
7211
              'hdlNetAttributes' => [
7212
              ],
7213
            },
7214
            'hdlType' => 'std_logic',
7215
            'width' => 1,
7216
          },
7217
          'reg12_tv_net_x0' => {
7218
            'attributes' => {
7219
              'hdlNetAttributes' => [
7220
              ],
7221
            },
7222
            'hdlType' => 'std_logic',
7223
            'width' => 1,
7224
          },
7225
          'reg13_td_net' => {
7226
            'attributes' => {
7227
              'hdlNetAttributes' => [
7228
              ],
7229
            },
7230
            'hdlType' => 'std_logic_vector(31 downto 0)',
7231
            'width' => 32,
7232
          },
7233
          'reg13_td_net_x0' => {
7234
            'attributes' => {
7235
              'hdlNetAttributes' => [
7236
              ],
7237
            },
7238
            'hdlType' => 'std_logic_vector(31 downto 0)',
7239
            'width' => 32,
7240
          },
7241
          'reg13_tv_net' => {
7242
            'attributes' => {
7243
              'hdlNetAttributes' => [
7244
              ],
7245
            },
7246
            'hdlType' => 'std_logic',
7247
            'width' => 1,
7248
          },
7249
          'reg13_tv_net_x0' => {
7250
            'attributes' => {
7251
              'hdlNetAttributes' => [
7252
              ],
7253
            },
7254
            'hdlType' => 'std_logic',
7255
            'width' => 1,
7256
          },
7257
          'reg14_td_net' => {
7258
            'attributes' => {
7259
              'hdlNetAttributes' => [
7260
              ],
7261
            },
7262
            'hdlType' => 'std_logic_vector(31 downto 0)',
7263
            'width' => 32,
7264
          },
7265
          'reg14_td_net_x0' => {
7266
            'attributes' => {
7267
              'hdlNetAttributes' => [
7268
              ],
7269
            },
7270
            'hdlType' => 'std_logic_vector(31 downto 0)',
7271
            'width' => 32,
7272
          },
7273
          'reg14_tv_net' => {
7274
            'attributes' => {
7275
              'hdlNetAttributes' => [
7276
              ],
7277
            },
7278
            'hdlType' => 'std_logic',
7279
            'width' => 1,
7280
          },
7281
          'reg14_tv_net_x0' => {
7282
            'attributes' => {
7283
              'hdlNetAttributes' => [
7284
              ],
7285
            },
7286
            'hdlType' => 'std_logic',
7287
            'width' => 1,
7288
          },
7289
          'to_register10_dout_net' => {
7290
            'attributes' => {
7291
              'hdlNetAttributes' => [
7292
              ],
7293
            },
7294
            'hdlType' => 'std_logic',
7295
            'width' => 1,
7296
          },
7297
          'to_register11_dout_net' => {
7298
            'attributes' => {
7299
              'hdlNetAttributes' => [
7300
              ],
7301
            },
7302
            'hdlType' => 'std_logic_vector(31 downto 0)',
7303
            'width' => 32,
7304
          },
7305
          'to_register12_dout_net' => {
7306
            'attributes' => {
7307
              'hdlNetAttributes' => [
7308
              ],
7309
            },
7310
            'hdlType' => 'std_logic',
7311
            'width' => 1,
7312
          },
7313
          'to_register13_dout_net' => {
7314
            'attributes' => {
7315
              'hdlNetAttributes' => [
7316
              ],
7317
            },
7318
            'hdlType' => 'std_logic_vector(31 downto 0)',
7319
            'width' => 32,
7320
          },
7321
          'to_register14_dout_net' => {
7322
            'attributes' => {
7323
              'hdlNetAttributes' => [
7324
              ],
7325
            },
7326
            'hdlType' => 'std_logic',
7327
            'width' => 1,
7328
          },
7329
          'to_register15_dout_net' => {
7330
            'attributes' => {
7331
              'hdlNetAttributes' => [
7332
              ],
7333
            },
7334
            'hdlType' => 'std_logic_vector(31 downto 0)',
7335
            'width' => 32,
7336
          },
7337
          'to_register16_dout_net' => {
7338
            'attributes' => {
7339
              'hdlNetAttributes' => [
7340
              ],
7341
            },
7342
            'hdlType' => 'std_logic',
7343
            'width' => 1,
7344
          },
7345
          'to_register17_dout_net' => {
7346
            'attributes' => {
7347
              'hdlNetAttributes' => [
7348
              ],
7349
            },
7350
            'hdlType' => 'std_logic_vector(31 downto 0)',
7351
            'width' => 32,
7352
          },
7353
          'to_register18_dout_net' => {
7354
            'attributes' => {
7355
              'hdlNetAttributes' => [
7356
              ],
7357
            },
7358
            'hdlType' => 'std_logic',
7359
            'width' => 1,
7360
          },
7361
          'to_register19_dout_net' => {
7362
            'attributes' => {
7363
              'hdlNetAttributes' => [
7364
              ],
7365
            },
7366
            'hdlType' => 'std_logic',
7367
            'width' => 1,
7368
          },
7369
          'to_register1_dout_net' => {
7370
            'attributes' => {
7371
              'hdlNetAttributes' => [
7372
              ],
7373
            },
7374
            'hdlType' => 'std_logic_vector(31 downto 0)',
7375
            'width' => 32,
7376
          },
7377
          'to_register20_dout_net' => {
7378
            'attributes' => {
7379
              'hdlNetAttributes' => [
7380
              ],
7381
            },
7382
            'hdlType' => 'std_logic_vector(31 downto 0)',
7383
            'width' => 32,
7384
          },
7385
          'to_register21_dout_net' => {
7386
            'attributes' => {
7387
              'hdlNetAttributes' => [
7388
              ],
7389
            },
7390
            'hdlType' => 'std_logic',
7391
            'width' => 1,
7392
          },
7393
          'to_register22_dout_net' => {
7394
            'attributes' => {
7395
              'hdlNetAttributes' => [
7396
              ],
7397
            },
7398
            'hdlType' => 'std_logic_vector(31 downto 0)',
7399
            'width' => 32,
7400
          },
7401
          'to_register23_dout_net' => {
7402
            'attributes' => {
7403
              'hdlNetAttributes' => [
7404
              ],
7405
            },
7406
            'hdlType' => 'std_logic',
7407
            'width' => 1,
7408
          },
7409
          'to_register24_dout_net' => {
7410
            'attributes' => {
7411
              'hdlNetAttributes' => [
7412
              ],
7413
            },
7414
            'hdlType' => 'std_logic_vector(31 downto 0)',
7415
            'width' => 32,
7416
          },
7417
          'to_register25_dout_net' => {
7418
            'attributes' => {
7419
              'hdlNetAttributes' => [
7420
              ],
7421
            },
7422
            'hdlType' => 'std_logic',
7423
            'width' => 1,
7424
          },
7425
          'to_register26_dout_net' => {
7426
            'attributes' => {
7427
              'hdlNetAttributes' => [
7428
              ],
7429
            },
7430
            'hdlType' => 'std_logic_vector(31 downto 0)',
7431
            'width' => 32,
7432
          },
7433
          'to_register27_dout_net' => {
7434
            'attributes' => {
7435
              'hdlNetAttributes' => [
7436
              ],
7437
            },
7438
            'hdlType' => 'std_logic',
7439
            'width' => 1,
7440
          },
7441
          'to_register28_dout_net' => {
7442
            'attributes' => {
7443
              'hdlNetAttributes' => [
7444
              ],
7445
            },
7446
            'hdlType' => 'std_logic_vector(31 downto 0)',
7447
            'width' => 32,
7448
          },
7449
          'to_register29_dout_net' => {
7450
            'attributes' => {
7451
              'hdlNetAttributes' => [
7452
              ],
7453
            },
7454
            'hdlType' => 'std_logic',
7455
            'width' => 1,
7456
          },
7457
          'to_register2_dout_net' => {
7458
            'attributes' => {
7459
              'hdlNetAttributes' => [
7460
              ],
7461
            },
7462
            'hdlType' => 'std_logic_vector(31 downto 0)',
7463
            'width' => 32,
7464
          },
7465
          'to_register30_dout_net' => {
7466
            'attributes' => {
7467
              'hdlNetAttributes' => [
7468
              ],
7469
            },
7470
            'hdlType' => 'std_logic_vector(31 downto 0)',
7471
            'width' => 32,
7472
          },
7473
          'to_register31_dout_net' => {
7474
            'attributes' => {
7475
              'hdlNetAttributes' => [
7476
              ],
7477
            },
7478
            'hdlType' => 'std_logic',
7479
            'width' => 1,
7480
          },
7481
          'to_register32_dout_net' => {
7482
            'attributes' => {
7483
              'hdlNetAttributes' => [
7484
              ],
7485
            },
7486
            'hdlType' => 'std_logic_vector(31 downto 0)',
7487
            'width' => 32,
7488
          },
7489
          'to_register33_dout_net' => {
7490
            'attributes' => {
7491
              'hdlNetAttributes' => [
7492
              ],
7493
            },
7494
            'hdlType' => 'std_logic',
7495
            'width' => 1,
7496
          },
7497
          'to_register34_dout_net' => {
7498
            'attributes' => {
7499
              'hdlNetAttributes' => [
7500
              ],
7501
            },
7502
            'hdlType' => 'std_logic_vector(31 downto 0)',
7503
            'width' => 32,
7504
          },
7505
          'to_register3_dout_net' => {
7506
            'attributes' => {
7507
              'hdlNetAttributes' => [
7508
              ],
7509
            },
7510
            'hdlType' => 'std_logic',
7511
            'width' => 1,
7512
          },
7513
          'to_register4_dout_net' => {
7514
            'attributes' => {
7515
              'hdlNetAttributes' => [
7516
              ],
7517
            },
7518
            'hdlType' => 'std_logic',
7519
            'width' => 1,
7520
          },
7521
          'to_register5_dout_net' => {
7522
            'attributes' => {
7523
              'hdlNetAttributes' => [
7524
              ],
7525
            },
7526
            'hdlType' => 'std_logic_vector(31 downto 0)',
7527
            'width' => 32,
7528
          },
7529
          'to_register6_dout_net' => {
7530
            'attributes' => {
7531
              'hdlNetAttributes' => [
7532
              ],
7533
            },
7534
            'hdlType' => 'std_logic_vector(31 downto 0)',
7535
            'width' => 32,
7536
          },
7537
          'to_register7_dout_net' => {
7538
            'attributes' => {
7539
              'hdlNetAttributes' => [
7540
              ],
7541
            },
7542
            'hdlType' => 'std_logic_vector(31 downto 0)',
7543
            'width' => 32,
7544
          },
7545
          'to_register8_dout_net' => {
7546
            'attributes' => {
7547
              'hdlNetAttributes' => [
7548
              ],
7549
            },
7550
            'hdlType' => 'std_logic',
7551
            'width' => 1,
7552
          },
7553
          'to_register9_dout_net' => {
7554
            'attributes' => {
7555
              'hdlNetAttributes' => [
7556
              ],
7557
            },
7558
            'hdlType' => 'std_logic_vector(31 downto 0)',
7559
            'width' => 32,
7560
          },
7561
        },
7562
        'ports' => {
7563
          'ce' => {
7564
            'attributes' => {
7565
              'defaultHdlValue' => '\'1\'',
7566
              'domain' => 'default',
7567
              'group' => 4,
7568
              'isCe' => 1,
7569
              'period' => 1,
7570
            },
7571
            'direction' => 'in',
7572
            'hdlType' => 'std_logic',
7573
            'width' => 1,
7574
          },
7575
          'clk' => {
7576
            'attributes' => {
7577
              'domain' => 'default',
7578
              'group' => 4,
7579
              'isClk' => 1,
7580
              'period' => 1,
7581
              'type' => 'logic',
7582
            },
7583
            'direction' => 'in',
7584
            'hdlType' => 'std_logic',
7585
            'width' => 1,
7586
          },
7587
          'debug_in_1i' => {
7588
            'attributes' => {
7589
              'bin_pt' => 0,
7590
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
7591
              'is_floating_block' => 1,
7592
              'is_gateway_port' => 1,
7593
              'must_be_hdl_vector' => 1,
7594
              'period' => 1,
7595
              'port_id' => 0,
7596
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i/debug_in_1i',
7597
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_1i',
7598
              'timingConstraint' => 'none',
7599
              'type' => 'UFix_32_0',
7600
            },
7601
            'direction' => 'in',
7602
            'hdlType' => 'std_logic_vector(31 downto 0)',
7603
            'width' => 32,
7604
          },
7605
          'debug_in_2i' => {
7606
            'attributes' => {
7607
              'bin_pt' => 0,
7608
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
7609
              'is_floating_block' => 1,
7610
              'is_gateway_port' => 1,
7611
              'must_be_hdl_vector' => 1,
7612
              'period' => 1,
7613
              'port_id' => 0,
7614
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i/debug_in_2i',
7615
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_2i',
7616
              'timingConstraint' => 'none',
7617
              'type' => 'UFix_32_0',
7618
            },
7619
            'direction' => 'in',
7620
            'hdlType' => 'std_logic_vector(31 downto 0)',
7621
            'width' => 32,
7622
          },
7623
          'debug_in_3i' => {
7624
            'attributes' => {
7625
              'bin_pt' => 0,
7626
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
7627
              'is_floating_block' => 1,
7628
              'is_gateway_port' => 1,
7629
              'must_be_hdl_vector' => 1,
7630
              'period' => 1,
7631
              'port_id' => 0,
7632
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i/debug_in_3i',
7633
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_3i',
7634
              'timingConstraint' => 'none',
7635
              'type' => 'UFix_32_0',
7636
            },
7637
            'direction' => 'in',
7638
            'hdlType' => 'std_logic_vector(31 downto 0)',
7639
            'width' => 32,
7640
          },
7641
          'debug_in_4i' => {
7642
            'attributes' => {
7643
              'bin_pt' => 0,
7644
              'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
7645
              'is_floating_block' => 1,
7646
              'is_gateway_port' => 1,
7647
              'must_be_hdl_vector' => 1,
7648
              'period' => 1,
7649
              'port_id' => 0,
7650
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i/debug_in_4i',
7651
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/debug_in_4i',
7652
              'timingConstraint' => 'none',
7653
              'type' => 'UFix_32_0',
7654
            },
7655
            'direction' => 'in',
7656
            'hdlType' => 'std_logic_vector(31 downto 0)',
7657
            'width' => 32,
7658
          },
7659
          'dma_host2board_busy' => {
7660
            'attributes' => {
7661
              'bin_pt' => 0,
7662
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
7663
              'is_floating_block' => 1,
7664
              'is_gateway_port' => 1,
7665
              'must_be_hdl_vector' => 1,
7666
              'period' => 1,
7667
              'port_id' => 0,
7668
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy/DMA_Host2Board_Busy',
7669
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Busy',
7670
              'timingConstraint' => 'none',
7671
              'type' => 'UFix_1_0',
7672
            },
7673
            'direction' => 'in',
7674
            'hdlType' => 'std_logic',
7675
            'width' => 1,
7676
          },
7677
          'dma_host2board_done' => {
7678
            'attributes' => {
7679
              'bin_pt' => 0,
7680
              'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
7681
              'is_floating_block' => 1,
7682
              'is_gateway_port' => 1,
7683
              'must_be_hdl_vector' => 1,
7684
              'period' => 1,
7685
              'port_id' => 0,
7686
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done/DMA_Host2Board_Done',
7687
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/DMA_Host2Board_Done',
7688
              'timingConstraint' => 'none',
7689
              'type' => 'UFix_1_0',
7690
            },
7691
            'direction' => 'in',
7692
            'hdlType' => 'std_logic',
7693
            'width' => 1,
7694
          },
7695
          'from_register10_data_out' => {
7696
            'attributes' => {
7697
              'bin_pt' => 0,
7698
              'is_floating_block' => 1,
7699
              'must_be_hdl_vector' => 1,
7700
              'period' => 1,
7701
              'port_id' => 0,
7702
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register10/data_out',
7703
              'type' => 'UFix_32_0',
7704
            },
7705
            'direction' => 'in',
7706
            'hdlType' => 'std_logic_vector(31 downto 0)',
7707
            'width' => 32,
7708
          },
7709
          'from_register11_data_out' => {
7710
            'attributes' => {
7711
              'bin_pt' => 0,
7712
              'is_floating_block' => 1,
7713
              'must_be_hdl_vector' => 1,
7714
              'period' => 1,
7715
              'port_id' => 0,
7716
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register11/data_out',
7717
              'type' => 'UFix_32_0',
7718
            },
7719
            'direction' => 'in',
7720
            'hdlType' => 'std_logic_vector(31 downto 0)',
7721
            'width' => 32,
7722
          },
7723
          'from_register12_data_out' => {
7724
            'attributes' => {
7725
              'bin_pt' => 0,
7726
              'is_floating_block' => 1,
7727
              'must_be_hdl_vector' => 1,
7728
              'period' => 1,
7729
              'port_id' => 0,
7730
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register12/data_out',
7731
              'type' => 'UFix_1_0',
7732
            },
7733
            'direction' => 'in',
7734
            'hdlType' => 'std_logic_vector(0 downto 0)',
7735
            'width' => 1,
7736
          },
7737
          'from_register13_data_out' => {
7738
            'attributes' => {
7739
              'bin_pt' => 0,
7740
              'is_floating_block' => 1,
7741
              'must_be_hdl_vector' => 1,
7742
              'period' => 1,
7743
              'port_id' => 0,
7744
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register13/data_out',
7745
              'type' => 'UFix_32_0',
7746
            },
7747
            'direction' => 'in',
7748
            'hdlType' => 'std_logic_vector(31 downto 0)',
7749
            'width' => 32,
7750
          },
7751
          'from_register14_data_out' => {
7752
            'attributes' => {
7753
              'bin_pt' => 0,
7754
              'is_floating_block' => 1,
7755
              'must_be_hdl_vector' => 1,
7756
              'period' => 1,
7757
              'port_id' => 0,
7758
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register14/data_out',
7759
              'type' => 'UFix_1_0',
7760
            },
7761
            'direction' => 'in',
7762
            'hdlType' => 'std_logic_vector(0 downto 0)',
7763
            'width' => 1,
7764
          },
7765
          'from_register15_data_out' => {
7766
            'attributes' => {
7767
              'bin_pt' => 0,
7768
              'is_floating_block' => 1,
7769
              'must_be_hdl_vector' => 1,
7770
              'period' => 1,
7771
              'port_id' => 0,
7772
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register15/data_out',
7773
              'type' => 'UFix_32_0',
7774
            },
7775
            'direction' => 'in',
7776
            'hdlType' => 'std_logic_vector(31 downto 0)',
7777
            'width' => 32,
7778
          },
7779
          'from_register16_data_out' => {
7780
            'attributes' => {
7781
              'bin_pt' => 0,
7782
              'is_floating_block' => 1,
7783
              'must_be_hdl_vector' => 1,
7784
              'period' => 1,
7785
              'port_id' => 0,
7786
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register16/data_out',
7787
              'type' => 'UFix_1_0',
7788
            },
7789
            'direction' => 'in',
7790
            'hdlType' => 'std_logic_vector(0 downto 0)',
7791
            'width' => 1,
7792
          },
7793
          'from_register17_data_out' => {
7794
            'attributes' => {
7795
              'bin_pt' => 0,
7796
              'is_floating_block' => 1,
7797
              'must_be_hdl_vector' => 1,
7798
              'period' => 1,
7799
              'port_id' => 0,
7800
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register17/data_out',
7801
              'type' => 'UFix_32_0',
7802
            },
7803
            'direction' => 'in',
7804
            'hdlType' => 'std_logic_vector(31 downto 0)',
7805
            'width' => 32,
7806
          },
7807
          'from_register18_data_out' => {
7808
            'attributes' => {
7809
              'bin_pt' => 0,
7810
              'is_floating_block' => 1,
7811
              'must_be_hdl_vector' => 1,
7812
              'period' => 1,
7813
              'port_id' => 0,
7814
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register18/data_out',
7815
              'type' => 'UFix_1_0',
7816
            },
7817
            'direction' => 'in',
7818
            'hdlType' => 'std_logic_vector(0 downto 0)',
7819
            'width' => 1,
7820
          },
7821
          'from_register19_data_out' => {
7822
            'attributes' => {
7823
              'bin_pt' => 0,
7824
              'is_floating_block' => 1,
7825
              'must_be_hdl_vector' => 1,
7826
              'period' => 1,
7827
              'port_id' => 0,
7828
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register19/data_out',
7829
              'type' => 'UFix_32_0',
7830
            },
7831
            'direction' => 'in',
7832
            'hdlType' => 'std_logic_vector(31 downto 0)',
7833
            'width' => 32,
7834
          },
7835
          'from_register1_data_out' => {
7836
            'attributes' => {
7837
              'bin_pt' => 0,
7838
              'is_floating_block' => 1,
7839
              'must_be_hdl_vector' => 1,
7840
              'period' => 1,
7841
              'port_id' => 0,
7842
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register1/data_out',
7843
              'type' => 'UFix_1_0',
7844
            },
7845
            'direction' => 'in',
7846
            'hdlType' => 'std_logic_vector(0 downto 0)',
7847
            'width' => 1,
7848
          },
7849
          'from_register20_data_out' => {
7850
            'attributes' => {
7851
              'bin_pt' => 0,
7852
              'is_floating_block' => 1,
7853
              'must_be_hdl_vector' => 1,
7854
              'period' => 1,
7855
              'port_id' => 0,
7856
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register20/data_out',
7857
              'type' => 'UFix_1_0',
7858
            },
7859
            'direction' => 'in',
7860
            'hdlType' => 'std_logic_vector(0 downto 0)',
7861
            'width' => 1,
7862
          },
7863
          'from_register21_data_out' => {
7864
            'attributes' => {
7865
              'bin_pt' => 0,
7866
              'is_floating_block' => 1,
7867
              'must_be_hdl_vector' => 1,
7868
              'period' => 1,
7869
              'port_id' => 0,
7870
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register21/data_out',
7871
              'type' => 'UFix_32_0',
7872
            },
7873
            'direction' => 'in',
7874
            'hdlType' => 'std_logic_vector(31 downto 0)',
7875
            'width' => 32,
7876
          },
7877
          'from_register22_data_out' => {
7878
            'attributes' => {
7879
              'bin_pt' => 0,
7880
              'is_floating_block' => 1,
7881
              'must_be_hdl_vector' => 1,
7882
              'period' => 1,
7883
              'port_id' => 0,
7884
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register22/data_out',
7885
              'type' => 'UFix_1_0',
7886
            },
7887
            'direction' => 'in',
7888
            'hdlType' => 'std_logic_vector(0 downto 0)',
7889
            'width' => 1,
7890
          },
7891
          'from_register23_data_out' => {
7892
            'attributes' => {
7893
              'bin_pt' => 0,
7894
              'is_floating_block' => 1,
7895
              'must_be_hdl_vector' => 1,
7896
              'period' => 1,
7897
              'port_id' => 0,
7898
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register23/data_out',
7899
              'type' => 'UFix_32_0',
7900
            },
7901
            'direction' => 'in',
7902
            'hdlType' => 'std_logic_vector(31 downto 0)',
7903
            'width' => 32,
7904
          },
7905
          'from_register24_data_out' => {
7906
            'attributes' => {
7907
              'bin_pt' => 0,
7908
              'is_floating_block' => 1,
7909
              'must_be_hdl_vector' => 1,
7910
              'period' => 1,
7911
              'port_id' => 0,
7912
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register24/data_out',
7913
              'type' => 'UFix_1_0',
7914
            },
7915
            'direction' => 'in',
7916
            'hdlType' => 'std_logic_vector(0 downto 0)',
7917
            'width' => 1,
7918
          },
7919
          'from_register25_data_out' => {
7920
            'attributes' => {
7921
              'bin_pt' => 0,
7922
              'is_floating_block' => 1,
7923
              'must_be_hdl_vector' => 1,
7924
              'period' => 1,
7925
              'port_id' => 0,
7926
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register25/data_out',
7927
              'type' => 'UFix_32_0',
7928
            },
7929
            'direction' => 'in',
7930
            'hdlType' => 'std_logic_vector(31 downto 0)',
7931
            'width' => 32,
7932
          },
7933
          'from_register26_data_out' => {
7934
            'attributes' => {
7935
              'bin_pt' => 0,
7936
              'is_floating_block' => 1,
7937
              'must_be_hdl_vector' => 1,
7938
              'period' => 1,
7939
              'port_id' => 0,
7940
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register26/data_out',
7941
              'type' => 'UFix_1_0',
7942
            },
7943
            'direction' => 'in',
7944
            'hdlType' => 'std_logic_vector(0 downto 0)',
7945
            'width' => 1,
7946
          },
7947
          'from_register27_data_out' => {
7948
            'attributes' => {
7949
              'bin_pt' => 0,
7950
              'is_floating_block' => 1,
7951
              'must_be_hdl_vector' => 1,
7952
              'period' => 1,
7953
              'port_id' => 0,
7954
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register27/data_out',
7955
              'type' => 'UFix_32_0',
7956
            },
7957
            'direction' => 'in',
7958
            'hdlType' => 'std_logic_vector(31 downto 0)',
7959
            'width' => 32,
7960
          },
7961
          'from_register28_data_out' => {
7962
            'attributes' => {
7963
              'bin_pt' => 0,
7964
              'is_floating_block' => 1,
7965
              'must_be_hdl_vector' => 1,
7966
              'period' => 1,
7967
              'port_id' => 0,
7968
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register28/data_out',
7969
              'type' => 'UFix_1_0',
7970
            },
7971
            'direction' => 'in',
7972
            'hdlType' => 'std_logic_vector(0 downto 0)',
7973
            'width' => 1,
7974
          },
7975
          'from_register2_data_out' => {
7976
            'attributes' => {
7977
              'bin_pt' => 0,
7978
              'is_floating_block' => 1,
7979
              'must_be_hdl_vector' => 1,
7980
              'period' => 1,
7981
              'port_id' => 0,
7982
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register2/data_out',
7983
              'type' => 'UFix_1_0',
7984
            },
7985
            'direction' => 'in',
7986
            'hdlType' => 'std_logic_vector(0 downto 0)',
7987
            'width' => 1,
7988
          },
7989
          'from_register3_data_out' => {
7990
            'attributes' => {
7991
              'bin_pt' => 0,
7992
              'is_floating_block' => 1,
7993
              'must_be_hdl_vector' => 1,
7994
              'period' => 1,
7995
              'port_id' => 0,
7996
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register3/data_out',
7997
              'type' => 'UFix_32_0',
7998
            },
7999
            'direction' => 'in',
8000
            'hdlType' => 'std_logic_vector(31 downto 0)',
8001
            'width' => 32,
8002
          },
8003
          'from_register4_data_out' => {
8004
            'attributes' => {
8005
              'bin_pt' => 0,
8006
              'is_floating_block' => 1,
8007
              'must_be_hdl_vector' => 1,
8008
              'period' => 1,
8009
              'port_id' => 0,
8010
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register4/data_out',
8011
              'type' => 'UFix_1_0',
8012
            },
8013
            'direction' => 'in',
8014
            'hdlType' => 'std_logic_vector(0 downto 0)',
8015
            'width' => 1,
8016
          },
8017
          'from_register5_data_out' => {
8018
            'attributes' => {
8019
              'bin_pt' => 0,
8020
              'is_floating_block' => 1,
8021
              'must_be_hdl_vector' => 1,
8022
              'period' => 1,
8023
              'port_id' => 0,
8024
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register5/data_out',
8025
              'type' => 'UFix_32_0',
8026
            },
8027
            'direction' => 'in',
8028
            'hdlType' => 'std_logic_vector(31 downto 0)',
8029
            'width' => 32,
8030
          },
8031
          'from_register6_data_out' => {
8032
            'attributes' => {
8033
              'bin_pt' => 0,
8034
              'is_floating_block' => 1,
8035
              'must_be_hdl_vector' => 1,
8036
              'period' => 1,
8037
              'port_id' => 0,
8038
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register6/data_out',
8039
              'type' => 'UFix_1_0',
8040
            },
8041
            'direction' => 'in',
8042
            'hdlType' => 'std_logic_vector(0 downto 0)',
8043
            'width' => 1,
8044
          },
8045
          'from_register7_data_out' => {
8046
            'attributes' => {
8047
              'bin_pt' => 0,
8048
              'is_floating_block' => 1,
8049
              'must_be_hdl_vector' => 1,
8050
              'period' => 1,
8051
              'port_id' => 0,
8052
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register7/data_out',
8053
              'type' => 'UFix_32_0',
8054
            },
8055
            'direction' => 'in',
8056
            'hdlType' => 'std_logic_vector(31 downto 0)',
8057
            'width' => 32,
8058
          },
8059
          'from_register8_data_out' => {
8060
            'attributes' => {
8061
              'bin_pt' => 0,
8062
              'is_floating_block' => 1,
8063
              'must_be_hdl_vector' => 1,
8064
              'period' => 1,
8065
              'port_id' => 0,
8066
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register8/data_out',
8067
              'type' => 'UFix_32_0',
8068
            },
8069
            'direction' => 'in',
8070
            'hdlType' => 'std_logic_vector(31 downto 0)',
8071
            'width' => 32,
8072
          },
8073
          'from_register9_data_out' => {
8074
            'attributes' => {
8075
              'bin_pt' => 0,
8076
              'is_floating_block' => 1,
8077
              'must_be_hdl_vector' => 1,
8078
              'period' => 1,
8079
              'port_id' => 0,
8080
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/From Register9/data_out',
8081
              'type' => 'UFix_1_0',
8082
            },
8083
            'direction' => 'in',
8084
            'hdlType' => 'std_logic_vector(0 downto 0)',
8085
            'width' => 1,
8086
          },
8087
          'reg01_rd' => {
8088
            'attributes' => {
8089
              'bin_pt' => 0,
8090
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
8091
              'is_floating_block' => 1,
8092
              'is_gateway_port' => 1,
8093
              'must_be_hdl_vector' => 1,
8094
              'period' => 1,
8095
              'port_id' => 0,
8096
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd/reg01_rd',
8097
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rd',
8098
              'timingConstraint' => 'none',
8099
              'type' => 'UFix_32_0',
8100
            },
8101
            'direction' => 'out',
8102
            'hdlType' => 'std_logic_vector(31 downto 0)',
8103
            'width' => 32,
8104
          },
8105
          'reg01_rv' => {
8106
            'attributes' => {
8107
              'bin_pt' => 0,
8108
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
8109
              'is_floating_block' => 1,
8110
              'is_gateway_port' => 1,
8111
              'must_be_hdl_vector' => 1,
8112
              'period' => 1,
8113
              'port_id' => 0,
8114
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv/reg01_rv',
8115
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_rv',
8116
              'timingConstraint' => 'none',
8117
              'type' => 'UFix_1_0',
8118
            },
8119
            'direction' => 'out',
8120
            'hdlType' => 'std_logic',
8121
            'width' => 1,
8122
          },
8123
          'reg01_td' => {
8124
            'attributes' => {
8125
              'bin_pt' => 0,
8126
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
8127
              'is_floating_block' => 1,
8128
              'is_gateway_port' => 1,
8129
              'must_be_hdl_vector' => 1,
8130
              'period' => 1,
8131
              'port_id' => 0,
8132
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td/reg01_td',
8133
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_td',
8134
              'timingConstraint' => 'none',
8135
              'type' => 'UFix_32_0',
8136
            },
8137
            'direction' => 'in',
8138
            'hdlType' => 'std_logic_vector(31 downto 0)',
8139
            'width' => 32,
8140
          },
8141
          'reg01_tv' => {
8142
            'attributes' => {
8143
              'bin_pt' => 0,
8144
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
8145
              'is_floating_block' => 1,
8146
              'is_gateway_port' => 1,
8147
              'must_be_hdl_vector' => 1,
8148
              'period' => 1,
8149
              'port_id' => 0,
8150
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv/reg01_tv',
8151
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg01_tv',
8152
              'timingConstraint' => 'none',
8153
              'type' => 'Bool',
8154
            },
8155
            'direction' => 'in',
8156
            'hdlType' => 'std_logic',
8157
            'width' => 1,
8158
          },
8159
          'reg02_rd' => {
8160
            'attributes' => {
8161
              'bin_pt' => 0,
8162
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
8163
              'is_floating_block' => 1,
8164
              'is_gateway_port' => 1,
8165
              'must_be_hdl_vector' => 1,
8166
              'period' => 1,
8167
              'port_id' => 0,
8168
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd/reg02_rd',
8169
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rd',
8170
              'timingConstraint' => 'none',
8171
              'type' => 'UFix_32_0',
8172
            },
8173
            'direction' => 'out',
8174
            'hdlType' => 'std_logic_vector(31 downto 0)',
8175
            'width' => 32,
8176
          },
8177
          'reg02_rv' => {
8178
            'attributes' => {
8179
              'bin_pt' => 0,
8180
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
8181
              'is_floating_block' => 1,
8182
              'is_gateway_port' => 1,
8183
              'must_be_hdl_vector' => 1,
8184
              'period' => 1,
8185
              'port_id' => 0,
8186
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv/reg02_rv',
8187
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_rv',
8188
              'timingConstraint' => 'none',
8189
              'type' => 'UFix_1_0',
8190
            },
8191
            'direction' => 'out',
8192
            'hdlType' => 'std_logic',
8193
            'width' => 1,
8194
          },
8195
          'reg02_td' => {
8196
            'attributes' => {
8197
              'bin_pt' => 0,
8198
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
8199
              'is_floating_block' => 1,
8200
              'is_gateway_port' => 1,
8201
              'must_be_hdl_vector' => 1,
8202
              'period' => 1,
8203
              'port_id' => 0,
8204
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td/reg02_td',
8205
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_td',
8206
              'timingConstraint' => 'none',
8207
              'type' => 'UFix_32_0',
8208
            },
8209
            'direction' => 'in',
8210
            'hdlType' => 'std_logic_vector(31 downto 0)',
8211
            'width' => 32,
8212
          },
8213
          'reg02_tv' => {
8214
            'attributes' => {
8215
              'bin_pt' => 0,
8216
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
8217
              'is_floating_block' => 1,
8218
              'is_gateway_port' => 1,
8219
              'must_be_hdl_vector' => 1,
8220
              'period' => 1,
8221
              'port_id' => 0,
8222
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv/reg02_tv',
8223
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg02_tv',
8224
              'timingConstraint' => 'none',
8225
              'type' => 'Bool',
8226
            },
8227
            'direction' => 'in',
8228
            'hdlType' => 'std_logic',
8229
            'width' => 1,
8230
          },
8231
          'reg03_rd' => {
8232
            'attributes' => {
8233
              'bin_pt' => 0,
8234
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
8235
              'is_floating_block' => 1,
8236
              'is_gateway_port' => 1,
8237
              'must_be_hdl_vector' => 1,
8238
              'period' => 1,
8239
              'port_id' => 0,
8240
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd/reg03_rd',
8241
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rd',
8242
              'timingConstraint' => 'none',
8243
              'type' => 'UFix_32_0',
8244
            },
8245
            'direction' => 'out',
8246
            'hdlType' => 'std_logic_vector(31 downto 0)',
8247
            'width' => 32,
8248
          },
8249
          'reg03_rv' => {
8250
            'attributes' => {
8251
              'bin_pt' => 0,
8252
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
8253
              'is_floating_block' => 1,
8254
              'is_gateway_port' => 1,
8255
              'must_be_hdl_vector' => 1,
8256
              'period' => 1,
8257
              'port_id' => 0,
8258
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv/reg03_rv',
8259
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_rv',
8260
              'timingConstraint' => 'none',
8261
              'type' => 'UFix_1_0',
8262
            },
8263
            'direction' => 'out',
8264
            'hdlType' => 'std_logic',
8265
            'width' => 1,
8266
          },
8267
          'reg03_td' => {
8268
            'attributes' => {
8269
              'bin_pt' => 0,
8270
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
8271
              'is_floating_block' => 1,
8272
              'is_gateway_port' => 1,
8273
              'must_be_hdl_vector' => 1,
8274
              'period' => 1,
8275
              'port_id' => 0,
8276
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td/reg03_td',
8277
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_td',
8278
              'timingConstraint' => 'none',
8279
              'type' => 'UFix_32_0',
8280
            },
8281
            'direction' => 'in',
8282
            'hdlType' => 'std_logic_vector(31 downto 0)',
8283
            'width' => 32,
8284
          },
8285
          'reg03_tv' => {
8286
            'attributes' => {
8287
              'bin_pt' => 0,
8288
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
8289
              'is_floating_block' => 1,
8290
              'is_gateway_port' => 1,
8291
              'must_be_hdl_vector' => 1,
8292
              'period' => 1,
8293
              'port_id' => 0,
8294
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv/reg03_tv',
8295
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg03_tv',
8296
              'timingConstraint' => 'none',
8297
              'type' => 'Bool',
8298
            },
8299
            'direction' => 'in',
8300
            'hdlType' => 'std_logic',
8301
            'width' => 1,
8302
          },
8303
          'reg04_rd' => {
8304
            'attributes' => {
8305
              'bin_pt' => 0,
8306
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
8307
              'is_floating_block' => 1,
8308
              'is_gateway_port' => 1,
8309
              'must_be_hdl_vector' => 1,
8310
              'period' => 1,
8311
              'port_id' => 0,
8312
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd/reg04_rd',
8313
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rd',
8314
              'timingConstraint' => 'none',
8315
              'type' => 'UFix_32_0',
8316
            },
8317
            'direction' => 'out',
8318
            'hdlType' => 'std_logic_vector(31 downto 0)',
8319
            'width' => 32,
8320
          },
8321
          'reg04_rv' => {
8322
            'attributes' => {
8323
              'bin_pt' => 0,
8324
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
8325
              'is_floating_block' => 1,
8326
              'is_gateway_port' => 1,
8327
              'must_be_hdl_vector' => 1,
8328
              'period' => 1,
8329
              'port_id' => 0,
8330
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv/reg04_rv',
8331
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_rv',
8332
              'timingConstraint' => 'none',
8333
              'type' => 'UFix_1_0',
8334
            },
8335
            'direction' => 'out',
8336
            'hdlType' => 'std_logic',
8337
            'width' => 1,
8338
          },
8339
          'reg04_td' => {
8340
            'attributes' => {
8341
              'bin_pt' => 0,
8342
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
8343
              'is_floating_block' => 1,
8344
              'is_gateway_port' => 1,
8345
              'must_be_hdl_vector' => 1,
8346
              'period' => 1,
8347
              'port_id' => 0,
8348
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td/reg04_td',
8349
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_td',
8350
              'timingConstraint' => 'none',
8351
              'type' => 'UFix_32_0',
8352
            },
8353
            'direction' => 'in',
8354
            'hdlType' => 'std_logic_vector(31 downto 0)',
8355
            'width' => 32,
8356
          },
8357
          'reg04_tv' => {
8358
            'attributes' => {
8359
              'bin_pt' => 0,
8360
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
8361
              'is_floating_block' => 1,
8362
              'is_gateway_port' => 1,
8363
              'must_be_hdl_vector' => 1,
8364
              'period' => 1,
8365
              'port_id' => 0,
8366
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv/reg04_tv',
8367
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg04_tv',
8368
              'timingConstraint' => 'none',
8369
              'type' => 'Bool',
8370
            },
8371
            'direction' => 'in',
8372
            'hdlType' => 'std_logic',
8373
            'width' => 1,
8374
          },
8375
          'reg05_rd' => {
8376
            'attributes' => {
8377
              'bin_pt' => 0,
8378
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
8379
              'is_floating_block' => 1,
8380
              'is_gateway_port' => 1,
8381
              'must_be_hdl_vector' => 1,
8382
              'period' => 1,
8383
              'port_id' => 0,
8384
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd/reg05_rd',
8385
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rd',
8386
              'timingConstraint' => 'none',
8387
              'type' => 'UFix_32_0',
8388
            },
8389
            'direction' => 'out',
8390
            'hdlType' => 'std_logic_vector(31 downto 0)',
8391
            'width' => 32,
8392
          },
8393
          'reg05_rv' => {
8394
            'attributes' => {
8395
              'bin_pt' => 0,
8396
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
8397
              'is_floating_block' => 1,
8398
              'is_gateway_port' => 1,
8399
              'must_be_hdl_vector' => 1,
8400
              'period' => 1,
8401
              'port_id' => 0,
8402
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv/reg05_rv',
8403
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_rv',
8404
              'timingConstraint' => 'none',
8405
              'type' => 'UFix_1_0',
8406
            },
8407
            'direction' => 'out',
8408
            'hdlType' => 'std_logic',
8409
            'width' => 1,
8410
          },
8411
          'reg05_td' => {
8412
            'attributes' => {
8413
              'bin_pt' => 0,
8414
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
8415
              'is_floating_block' => 1,
8416
              'is_gateway_port' => 1,
8417
              'must_be_hdl_vector' => 1,
8418
              'period' => 1,
8419
              'port_id' => 0,
8420
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td/reg05_td',
8421
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_td',
8422
              'timingConstraint' => 'none',
8423
              'type' => 'UFix_32_0',
8424
            },
8425
            'direction' => 'in',
8426
            'hdlType' => 'std_logic_vector(31 downto 0)',
8427
            'width' => 32,
8428
          },
8429
          'reg05_tv' => {
8430
            'attributes' => {
8431
              'bin_pt' => 0,
8432
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
8433
              'is_floating_block' => 1,
8434
              'is_gateway_port' => 1,
8435
              'must_be_hdl_vector' => 1,
8436
              'period' => 1,
8437
              'port_id' => 0,
8438
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv/reg05_tv',
8439
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg05_tv',
8440
              'timingConstraint' => 'none',
8441
              'type' => 'Bool',
8442
            },
8443
            'direction' => 'in',
8444
            'hdlType' => 'std_logic',
8445
            'width' => 1,
8446
          },
8447
          'reg06_rd' => {
8448
            'attributes' => {
8449
              'bin_pt' => 0,
8450
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
8451
              'is_floating_block' => 1,
8452
              'is_gateway_port' => 1,
8453
              'must_be_hdl_vector' => 1,
8454
              'period' => 1,
8455
              'port_id' => 0,
8456
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd/reg06_rd',
8457
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rd',
8458
              'timingConstraint' => 'none',
8459
              'type' => 'UFix_32_0',
8460
            },
8461
            'direction' => 'out',
8462
            'hdlType' => 'std_logic_vector(31 downto 0)',
8463
            'width' => 32,
8464
          },
8465
          'reg06_rv' => {
8466
            'attributes' => {
8467
              'bin_pt' => 0,
8468
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
8469
              'is_floating_block' => 1,
8470
              'is_gateway_port' => 1,
8471
              'must_be_hdl_vector' => 1,
8472
              'period' => 1,
8473
              'port_id' => 0,
8474
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv/reg06_rv',
8475
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_rv',
8476
              'timingConstraint' => 'none',
8477
              'type' => 'UFix_1_0',
8478
            },
8479
            'direction' => 'out',
8480
            'hdlType' => 'std_logic',
8481
            'width' => 1,
8482
          },
8483
          'reg06_td' => {
8484
            'attributes' => {
8485
              'bin_pt' => 0,
8486
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
8487
              'is_floating_block' => 1,
8488
              'is_gateway_port' => 1,
8489
              'must_be_hdl_vector' => 1,
8490
              'period' => 1,
8491
              'port_id' => 0,
8492
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td/reg06_td',
8493
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_td',
8494
              'timingConstraint' => 'none',
8495
              'type' => 'UFix_32_0',
8496
            },
8497
            'direction' => 'in',
8498
            'hdlType' => 'std_logic_vector(31 downto 0)',
8499
            'width' => 32,
8500
          },
8501
          'reg06_tv' => {
8502
            'attributes' => {
8503
              'bin_pt' => 0,
8504
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
8505
              'is_floating_block' => 1,
8506
              'is_gateway_port' => 1,
8507
              'must_be_hdl_vector' => 1,
8508
              'period' => 1,
8509
              'port_id' => 0,
8510
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv/reg06_tv',
8511
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg06_tv',
8512
              'timingConstraint' => 'none',
8513
              'type' => 'Bool',
8514
            },
8515
            'direction' => 'in',
8516
            'hdlType' => 'std_logic',
8517
            'width' => 1,
8518
          },
8519
          'reg07_rd' => {
8520
            'attributes' => {
8521
              'bin_pt' => 0,
8522
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
8523
              'is_floating_block' => 1,
8524
              'is_gateway_port' => 1,
8525
              'must_be_hdl_vector' => 1,
8526
              'period' => 1,
8527
              'port_id' => 0,
8528
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd/reg07_rd',
8529
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rd',
8530
              'timingConstraint' => 'none',
8531
              'type' => 'UFix_32_0',
8532
            },
8533
            'direction' => 'out',
8534
            'hdlType' => 'std_logic_vector(31 downto 0)',
8535
            'width' => 32,
8536
          },
8537
          'reg07_rv' => {
8538
            'attributes' => {
8539
              'bin_pt' => 0,
8540
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
8541
              'is_floating_block' => 1,
8542
              'is_gateway_port' => 1,
8543
              'must_be_hdl_vector' => 1,
8544
              'period' => 1,
8545
              'port_id' => 0,
8546
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv/reg07_rv',
8547
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_rv',
8548
              'timingConstraint' => 'none',
8549
              'type' => 'UFix_1_0',
8550
            },
8551
            'direction' => 'out',
8552
            'hdlType' => 'std_logic',
8553
            'width' => 1,
8554
          },
8555
          'reg07_td' => {
8556
            'attributes' => {
8557
              'bin_pt' => 0,
8558
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
8559
              'is_floating_block' => 1,
8560
              'is_gateway_port' => 1,
8561
              'must_be_hdl_vector' => 1,
8562
              'period' => 1,
8563
              'port_id' => 0,
8564
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td/reg07_td',
8565
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_td',
8566
              'timingConstraint' => 'none',
8567
              'type' => 'UFix_32_0',
8568
            },
8569
            'direction' => 'in',
8570
            'hdlType' => 'std_logic_vector(31 downto 0)',
8571
            'width' => 32,
8572
          },
8573
          'reg07_tv' => {
8574
            'attributes' => {
8575
              'bin_pt' => 0,
8576
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
8577
              'is_floating_block' => 1,
8578
              'is_gateway_port' => 1,
8579
              'must_be_hdl_vector' => 1,
8580
              'period' => 1,
8581
              'port_id' => 0,
8582
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv/reg07_tv',
8583
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg07_tv',
8584
              'timingConstraint' => 'none',
8585
              'type' => 'Bool',
8586
            },
8587
            'direction' => 'in',
8588
            'hdlType' => 'std_logic',
8589
            'width' => 1,
8590
          },
8591
          'reg08_rd' => {
8592
            'attributes' => {
8593
              'bin_pt' => 0,
8594
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
8595
              'is_floating_block' => 1,
8596
              'is_gateway_port' => 1,
8597
              'must_be_hdl_vector' => 1,
8598
              'period' => 1,
8599
              'port_id' => 0,
8600
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd/reg08_rd',
8601
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rd',
8602
              'timingConstraint' => 'none',
8603
              'type' => 'UFix_32_0',
8604
            },
8605
            'direction' => 'out',
8606
            'hdlType' => 'std_logic_vector(31 downto 0)',
8607
            'width' => 32,
8608
          },
8609
          'reg08_rv' => {
8610
            'attributes' => {
8611
              'bin_pt' => 0,
8612
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
8613
              'is_floating_block' => 1,
8614
              'is_gateway_port' => 1,
8615
              'must_be_hdl_vector' => 1,
8616
              'period' => 1,
8617
              'port_id' => 0,
8618
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv/reg08_rv',
8619
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_rv',
8620
              'timingConstraint' => 'none',
8621
              'type' => 'UFix_1_0',
8622
            },
8623
            'direction' => 'out',
8624
            'hdlType' => 'std_logic',
8625
            'width' => 1,
8626
          },
8627
          'reg08_td' => {
8628
            'attributes' => {
8629
              'bin_pt' => 0,
8630
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
8631
              'is_floating_block' => 1,
8632
              'is_gateway_port' => 1,
8633
              'must_be_hdl_vector' => 1,
8634
              'period' => 1,
8635
              'port_id' => 0,
8636
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td/reg08_td',
8637
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_td',
8638
              'timingConstraint' => 'none',
8639
              'type' => 'UFix_32_0',
8640
            },
8641
            'direction' => 'in',
8642
            'hdlType' => 'std_logic_vector(31 downto 0)',
8643
            'width' => 32,
8644
          },
8645
          'reg08_tv' => {
8646
            'attributes' => {
8647
              'bin_pt' => 0,
8648
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
8649
              'is_floating_block' => 1,
8650
              'is_gateway_port' => 1,
8651
              'must_be_hdl_vector' => 1,
8652
              'period' => 1,
8653
              'port_id' => 0,
8654
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv/reg08_tv',
8655
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg08_tv',
8656
              'timingConstraint' => 'none',
8657
              'type' => 'Bool',
8658
            },
8659
            'direction' => 'in',
8660
            'hdlType' => 'std_logic',
8661
            'width' => 1,
8662
          },
8663
          'reg09_rd' => {
8664
            'attributes' => {
8665
              'bin_pt' => 0,
8666
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
8667
              'is_floating_block' => 1,
8668
              'is_gateway_port' => 1,
8669
              'must_be_hdl_vector' => 1,
8670
              'period' => 1,
8671
              'port_id' => 0,
8672
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd/reg09_rd',
8673
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rd',
8674
              'timingConstraint' => 'none',
8675
              'type' => 'UFix_32_0',
8676
            },
8677
            'direction' => 'out',
8678
            'hdlType' => 'std_logic_vector(31 downto 0)',
8679
            'width' => 32,
8680
          },
8681
          'reg09_rv' => {
8682
            'attributes' => {
8683
              'bin_pt' => 0,
8684
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
8685
              'is_floating_block' => 1,
8686
              'is_gateway_port' => 1,
8687
              'must_be_hdl_vector' => 1,
8688
              'period' => 1,
8689
              'port_id' => 0,
8690
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv/reg09_rv',
8691
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_rv',
8692
              'timingConstraint' => 'none',
8693
              'type' => 'UFix_1_0',
8694
            },
8695
            'direction' => 'out',
8696
            'hdlType' => 'std_logic',
8697
            'width' => 1,
8698
          },
8699
          'reg09_td' => {
8700
            'attributes' => {
8701
              'bin_pt' => 0,
8702
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
8703
              'is_floating_block' => 1,
8704
              'is_gateway_port' => 1,
8705
              'must_be_hdl_vector' => 1,
8706
              'period' => 1,
8707
              'port_id' => 0,
8708
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td/reg09_td',
8709
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_td',
8710
              'timingConstraint' => 'none',
8711
              'type' => 'UFix_32_0',
8712
            },
8713
            'direction' => 'in',
8714
            'hdlType' => 'std_logic_vector(31 downto 0)',
8715
            'width' => 32,
8716
          },
8717
          'reg09_tv' => {
8718
            'attributes' => {
8719
              'bin_pt' => 0,
8720
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
8721
              'is_floating_block' => 1,
8722
              'is_gateway_port' => 1,
8723
              'must_be_hdl_vector' => 1,
8724
              'period' => 1,
8725
              'port_id' => 0,
8726
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv/reg09_tv',
8727
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg09_tv',
8728
              'timingConstraint' => 'none',
8729
              'type' => 'Bool',
8730
            },
8731
            'direction' => 'in',
8732
            'hdlType' => 'std_logic',
8733
            'width' => 1,
8734
          },
8735
          'reg10_rd' => {
8736
            'attributes' => {
8737
              'bin_pt' => 0,
8738
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
8739
              'is_floating_block' => 1,
8740
              'is_gateway_port' => 1,
8741
              'must_be_hdl_vector' => 1,
8742
              'period' => 1,
8743
              'port_id' => 0,
8744
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd/reg10_rd',
8745
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rd',
8746
              'timingConstraint' => 'none',
8747
              'type' => 'UFix_32_0',
8748
            },
8749
            'direction' => 'out',
8750
            'hdlType' => 'std_logic_vector(31 downto 0)',
8751
            'width' => 32,
8752
          },
8753
          'reg10_rv' => {
8754
            'attributes' => {
8755
              'bin_pt' => 0,
8756
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
8757
              'is_floating_block' => 1,
8758
              'is_gateway_port' => 1,
8759
              'must_be_hdl_vector' => 1,
8760
              'period' => 1,
8761
              'port_id' => 0,
8762
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv/reg10_rv',
8763
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_rv',
8764
              'timingConstraint' => 'none',
8765
              'type' => 'UFix_1_0',
8766
            },
8767
            'direction' => 'out',
8768
            'hdlType' => 'std_logic',
8769
            'width' => 1,
8770
          },
8771
          'reg10_td' => {
8772
            'attributes' => {
8773
              'bin_pt' => 0,
8774
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
8775
              'is_floating_block' => 1,
8776
              'is_gateway_port' => 1,
8777
              'must_be_hdl_vector' => 1,
8778
              'period' => 1,
8779
              'port_id' => 0,
8780
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td/reg10_td',
8781
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_td',
8782
              'timingConstraint' => 'none',
8783
              'type' => 'UFix_32_0',
8784
            },
8785
            'direction' => 'in',
8786
            'hdlType' => 'std_logic_vector(31 downto 0)',
8787
            'width' => 32,
8788
          },
8789
          'reg10_tv' => {
8790
            'attributes' => {
8791
              'bin_pt' => 0,
8792
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
8793
              'is_floating_block' => 1,
8794
              'is_gateway_port' => 1,
8795
              'must_be_hdl_vector' => 1,
8796
              'period' => 1,
8797
              'port_id' => 0,
8798
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv/reg10_tv',
8799
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg10_tv',
8800
              'timingConstraint' => 'none',
8801
              'type' => 'Bool',
8802
            },
8803
            'direction' => 'in',
8804
            'hdlType' => 'std_logic',
8805
            'width' => 1,
8806
          },
8807
          'reg11_rd' => {
8808
            'attributes' => {
8809
              'bin_pt' => 0,
8810
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
8811
              'is_floating_block' => 1,
8812
              'is_gateway_port' => 1,
8813
              'must_be_hdl_vector' => 1,
8814
              'period' => 1,
8815
              'port_id' => 0,
8816
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd/reg11_rd',
8817
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rd',
8818
              'timingConstraint' => 'none',
8819
              'type' => 'UFix_32_0',
8820
            },
8821
            'direction' => 'out',
8822
            'hdlType' => 'std_logic_vector(31 downto 0)',
8823
            'width' => 32,
8824
          },
8825
          'reg11_rv' => {
8826
            'attributes' => {
8827
              'bin_pt' => 0,
8828
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
8829
              'is_floating_block' => 1,
8830
              'is_gateway_port' => 1,
8831
              'must_be_hdl_vector' => 1,
8832
              'period' => 1,
8833
              'port_id' => 0,
8834
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv/reg11_rv',
8835
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_rv',
8836
              'timingConstraint' => 'none',
8837
              'type' => 'UFix_1_0',
8838
            },
8839
            'direction' => 'out',
8840
            'hdlType' => 'std_logic',
8841
            'width' => 1,
8842
          },
8843
          'reg11_td' => {
8844
            'attributes' => {
8845
              'bin_pt' => 0,
8846
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
8847
              'is_floating_block' => 1,
8848
              'is_gateway_port' => 1,
8849
              'must_be_hdl_vector' => 1,
8850
              'period' => 1,
8851
              'port_id' => 0,
8852
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td/reg11_td',
8853
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_td',
8854
              'timingConstraint' => 'none',
8855
              'type' => 'UFix_32_0',
8856
            },
8857
            'direction' => 'in',
8858
            'hdlType' => 'std_logic_vector(31 downto 0)',
8859
            'width' => 32,
8860
          },
8861
          'reg11_tv' => {
8862
            'attributes' => {
8863
              'bin_pt' => 0,
8864
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
8865
              'is_floating_block' => 1,
8866
              'is_gateway_port' => 1,
8867
              'must_be_hdl_vector' => 1,
8868
              'period' => 1,
8869
              'port_id' => 0,
8870
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv/reg11_tv',
8871
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg11_tv',
8872
              'timingConstraint' => 'none',
8873
              'type' => 'Bool',
8874
            },
8875
            'direction' => 'in',
8876
            'hdlType' => 'std_logic',
8877
            'width' => 1,
8878
          },
8879
          'reg12_rd' => {
8880
            'attributes' => {
8881
              'bin_pt' => 0,
8882
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
8883
              'is_floating_block' => 1,
8884
              'is_gateway_port' => 1,
8885
              'must_be_hdl_vector' => 1,
8886
              'period' => 1,
8887
              'port_id' => 0,
8888
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd/reg12_rd',
8889
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rd',
8890
              'timingConstraint' => 'none',
8891
              'type' => 'UFix_32_0',
8892
            },
8893
            'direction' => 'out',
8894
            'hdlType' => 'std_logic_vector(31 downto 0)',
8895
            'width' => 32,
8896
          },
8897
          'reg12_rv' => {
8898
            'attributes' => {
8899
              'bin_pt' => 0,
8900
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
8901
              'is_floating_block' => 1,
8902
              'is_gateway_port' => 1,
8903
              'must_be_hdl_vector' => 1,
8904
              'period' => 1,
8905
              'port_id' => 0,
8906
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv/reg12_rv',
8907
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_rv',
8908
              'timingConstraint' => 'none',
8909
              'type' => 'UFix_1_0',
8910
            },
8911
            'direction' => 'out',
8912
            'hdlType' => 'std_logic',
8913
            'width' => 1,
8914
          },
8915
          'reg12_td' => {
8916
            'attributes' => {
8917
              'bin_pt' => 0,
8918
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
8919
              'is_floating_block' => 1,
8920
              'is_gateway_port' => 1,
8921
              'must_be_hdl_vector' => 1,
8922
              'period' => 1,
8923
              'port_id' => 0,
8924
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td/reg12_td',
8925
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_td',
8926
              'timingConstraint' => 'none',
8927
              'type' => 'UFix_32_0',
8928
            },
8929
            'direction' => 'in',
8930
            'hdlType' => 'std_logic_vector(31 downto 0)',
8931
            'width' => 32,
8932
          },
8933
          'reg12_tv' => {
8934
            'attributes' => {
8935
              'bin_pt' => 0,
8936
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
8937
              'is_floating_block' => 1,
8938
              'is_gateway_port' => 1,
8939
              'must_be_hdl_vector' => 1,
8940
              'period' => 1,
8941
              'port_id' => 0,
8942
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv/reg12_tv',
8943
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg12_tv',
8944
              'timingConstraint' => 'none',
8945
              'type' => 'Bool',
8946
            },
8947
            'direction' => 'in',
8948
            'hdlType' => 'std_logic',
8949
            'width' => 1,
8950
          },
8951
          'reg13_rd' => {
8952
            'attributes' => {
8953
              'bin_pt' => 0,
8954
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
8955
              'is_floating_block' => 1,
8956
              'is_gateway_port' => 1,
8957
              'must_be_hdl_vector' => 1,
8958
              'period' => 1,
8959
              'port_id' => 0,
8960
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd/reg13_rd',
8961
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rd',
8962
              'timingConstraint' => 'none',
8963
              'type' => 'UFix_32_0',
8964
            },
8965
            'direction' => 'out',
8966
            'hdlType' => 'std_logic_vector(31 downto 0)',
8967
            'width' => 32,
8968
          },
8969
          'reg13_rv' => {
8970
            'attributes' => {
8971
              'bin_pt' => 0,
8972
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
8973
              'is_floating_block' => 1,
8974
              'is_gateway_port' => 1,
8975
              'must_be_hdl_vector' => 1,
8976
              'period' => 1,
8977
              'port_id' => 0,
8978
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv/reg13_rv',
8979
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_rv',
8980
              'timingConstraint' => 'none',
8981
              'type' => 'UFix_1_0',
8982
            },
8983
            'direction' => 'out',
8984
            'hdlType' => 'std_logic',
8985
            'width' => 1,
8986
          },
8987
          'reg13_td' => {
8988
            'attributes' => {
8989
              'bin_pt' => 0,
8990
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
8991
              'is_floating_block' => 1,
8992
              'is_gateway_port' => 1,
8993
              'must_be_hdl_vector' => 1,
8994
              'period' => 1,
8995
              'port_id' => 0,
8996
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td/reg13_td',
8997
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_td',
8998
              'timingConstraint' => 'none',
8999
              'type' => 'UFix_32_0',
9000
            },
9001
            'direction' => 'in',
9002
            'hdlType' => 'std_logic_vector(31 downto 0)',
9003
            'width' => 32,
9004
          },
9005
          'reg13_tv' => {
9006
            'attributes' => {
9007
              'bin_pt' => 0,
9008
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
9009
              'is_floating_block' => 1,
9010
              'is_gateway_port' => 1,
9011
              'must_be_hdl_vector' => 1,
9012
              'period' => 1,
9013
              'port_id' => 0,
9014
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv/reg13_tv',
9015
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg13_tv',
9016
              'timingConstraint' => 'none',
9017
              'type' => 'Bool',
9018
            },
9019
            'direction' => 'in',
9020
            'hdlType' => 'std_logic',
9021
            'width' => 1,
9022
          },
9023
          'reg14_rd' => {
9024
            'attributes' => {
9025
              'bin_pt' => 0,
9026
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
9027
              'is_floating_block' => 1,
9028
              'is_gateway_port' => 1,
9029
              'must_be_hdl_vector' => 1,
9030
              'period' => 1,
9031
              'port_id' => 0,
9032
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd/reg14_rd',
9033
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rd',
9034
              'timingConstraint' => 'none',
9035
              'type' => 'UFix_32_0',
9036
            },
9037
            'direction' => 'out',
9038
            'hdlType' => 'std_logic_vector(31 downto 0)',
9039
            'width' => 32,
9040
          },
9041
          'reg14_rv' => {
9042
            'attributes' => {
9043
              'bin_pt' => 0,
9044
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
9045
              'is_floating_block' => 1,
9046
              'is_gateway_port' => 1,
9047
              'must_be_hdl_vector' => 1,
9048
              'period' => 1,
9049
              'port_id' => 0,
9050
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv/reg14_rv',
9051
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_rv',
9052
              'timingConstraint' => 'none',
9053
              'type' => 'UFix_1_0',
9054
            },
9055
            'direction' => 'out',
9056
            'hdlType' => 'std_logic',
9057
            'width' => 1,
9058
          },
9059
          'reg14_td' => {
9060
            'attributes' => {
9061
              'bin_pt' => 0,
9062
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
9063
              'is_floating_block' => 1,
9064
              'is_gateway_port' => 1,
9065
              'must_be_hdl_vector' => 1,
9066
              'period' => 1,
9067
              'port_id' => 0,
9068
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td/reg14_td',
9069
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_td',
9070
              'timingConstraint' => 'none',
9071
              'type' => 'UFix_32_0',
9072
            },
9073
            'direction' => 'in',
9074
            'hdlType' => 'std_logic_vector(31 downto 0)',
9075
            'width' => 32,
9076
          },
9077
          'reg14_tv' => {
9078
            'attributes' => {
9079
              'bin_pt' => 0,
9080
              'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
9081
              'is_floating_block' => 1,
9082
              'is_gateway_port' => 1,
9083
              'must_be_hdl_vector' => 1,
9084
              'period' => 1,
9085
              'port_id' => 0,
9086
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv/reg14_tv',
9087
              'source_block' => 'PCIe_UserLogic_00/INOUT_LOGIC/reg14_tv',
9088
              'timingConstraint' => 'none',
9089
              'type' => 'Bool',
9090
            },
9091
            'direction' => 'in',
9092
            'hdlType' => 'std_logic',
9093
            'width' => 1,
9094
          },
9095
          'to_register10_ce' => {
9096
            'attributes' => {
9097
              'domain' => '',
9098
              'group' => 1,
9099
              'isCe' => 1,
9100
              'is_floating_block' => 1,
9101
              'period' => 1,
9102
              'type' => 'logic',
9103
            },
9104
            'direction' => 'out',
9105
            'hdlType' => 'std_logic',
9106
            'width' => 1,
9107
          },
9108
          'to_register10_clk' => {
9109
            'attributes' => {
9110
              'domain' => '',
9111
              'group' => 1,
9112
              'isClk' => 1,
9113
              'is_floating_block' => 1,
9114
              'period' => 1,
9115
              'type' => 'logic',
9116
            },
9117
            'direction' => 'out',
9118
            'hdlType' => 'std_logic',
9119
            'width' => 1,
9120
          },
9121
          'to_register10_clr' => {
9122
            'attributes' => {
9123
              'domain' => '',
9124
              'group' => 1,
9125
              'isClr' => 1,
9126
              'is_floating_block' => 1,
9127
              'period' => 1,
9128
              'type' => 'logic',
9129
              'valid_bit_used' => 0,
9130
            },
9131
            'direction' => 'out',
9132
            'hdlType' => 'std_logic',
9133
            'width' => 1,
9134
          },
9135
          'to_register10_data_in' => {
9136
            'attributes' => {
9137
              'bin_pt' => 0,
9138
              'is_floating_block' => 1,
9139
              'must_be_hdl_vector' => 1,
9140
              'period' => 1,
9141
              'port_id' => 0,
9142
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/data_in',
9143
              'type' => 'Bool',
9144
            },
9145
            'direction' => 'out',
9146
            'hdlType' => 'std_logic_vector(0 downto 0)',
9147
            'width' => 1,
9148
          },
9149
          'to_register10_dout' => {
9150
            'attributes' => {
9151
              'bin_pt' => 0,
9152
              'is_floating_block' => 1,
9153
              'must_be_hdl_vector' => 1,
9154
              'period' => 1,
9155
              'port_id' => 0,
9156
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/dout',
9157
              'type' => 'Bool',
9158
            },
9159
            'direction' => 'in',
9160
            'hdlType' => 'std_logic_vector(0 downto 0)',
9161
            'width' => 1,
9162
          },
9163
          'to_register10_en' => {
9164
            'attributes' => {
9165
              'bin_pt' => 0,
9166
              'is_floating_block' => 1,
9167
              'must_be_hdl_vector' => 1,
9168
              'period' => 1,
9169
              'port_id' => 1,
9170
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/en',
9171
              'type' => 'Bool',
9172
            },
9173
            'direction' => 'out',
9174
            'hdlType' => 'std_logic_vector(0 downto 0)',
9175
            'width' => 1,
9176
          },
9177
          'to_register11_ce' => {
9178
            'attributes' => {
9179
              'domain' => '',
9180
              'group' => 1,
9181
              'isCe' => 1,
9182
              'is_floating_block' => 1,
9183
              'period' => 1,
9184
              'type' => 'logic',
9185
            },
9186
            'direction' => 'out',
9187
            'hdlType' => 'std_logic',
9188
            'width' => 1,
9189
          },
9190
          'to_register11_clk' => {
9191
            'attributes' => {
9192
              'domain' => '',
9193
              'group' => 1,
9194
              'isClk' => 1,
9195
              'is_floating_block' => 1,
9196
              'period' => 1,
9197
              'type' => 'logic',
9198
            },
9199
            'direction' => 'out',
9200
            'hdlType' => 'std_logic',
9201
            'width' => 1,
9202
          },
9203
          'to_register11_clr' => {
9204
            'attributes' => {
9205
              'domain' => '',
9206
              'group' => 1,
9207
              'isClr' => 1,
9208
              'is_floating_block' => 1,
9209
              'period' => 1,
9210
              'type' => 'logic',
9211
              'valid_bit_used' => 0,
9212
            },
9213
            'direction' => 'out',
9214
            'hdlType' => 'std_logic',
9215
            'width' => 1,
9216
          },
9217
          'to_register11_data_in' => {
9218
            'attributes' => {
9219
              'bin_pt' => 0,
9220
              'is_floating_block' => 1,
9221
              'must_be_hdl_vector' => 1,
9222
              'period' => 1,
9223
              'port_id' => 0,
9224
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/data_in',
9225
              'type' => 'UFix_32_0',
9226
            },
9227
            'direction' => 'out',
9228
            'hdlType' => 'std_logic_vector(31 downto 0)',
9229
            'width' => 32,
9230
          },
9231
          'to_register11_dout' => {
9232
            'attributes' => {
9233
              'bin_pt' => 0,
9234
              'is_floating_block' => 1,
9235
              'must_be_hdl_vector' => 1,
9236
              'period' => 1,
9237
              'port_id' => 0,
9238
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/dout',
9239
              'type' => 'UFix_32_0',
9240
            },
9241
            'direction' => 'in',
9242
            'hdlType' => 'std_logic_vector(31 downto 0)',
9243
            'width' => 32,
9244
          },
9245
          'to_register11_en' => {
9246
            'attributes' => {
9247
              'bin_pt' => 0,
9248
              'is_floating_block' => 1,
9249
              'must_be_hdl_vector' => 1,
9250
              'period' => 1,
9251
              'port_id' => 1,
9252
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/en',
9253
              'type' => 'Bool',
9254
            },
9255
            'direction' => 'out',
9256
            'hdlType' => 'std_logic_vector(0 downto 0)',
9257
            'width' => 1,
9258
          },
9259
          'to_register12_ce' => {
9260
            'attributes' => {
9261
              'domain' => '',
9262
              'group' => 1,
9263
              'isCe' => 1,
9264
              'is_floating_block' => 1,
9265
              'period' => 1,
9266
              'type' => 'logic',
9267
            },
9268
            'direction' => 'out',
9269
            'hdlType' => 'std_logic',
9270
            'width' => 1,
9271
          },
9272
          'to_register12_clk' => {
9273
            'attributes' => {
9274
              'domain' => '',
9275
              'group' => 1,
9276
              'isClk' => 1,
9277
              'is_floating_block' => 1,
9278
              'period' => 1,
9279
              'type' => 'logic',
9280
            },
9281
            'direction' => 'out',
9282
            'hdlType' => 'std_logic',
9283
            'width' => 1,
9284
          },
9285
          'to_register12_clr' => {
9286
            'attributes' => {
9287
              'domain' => '',
9288
              'group' => 1,
9289
              'isClr' => 1,
9290
              'is_floating_block' => 1,
9291
              'period' => 1,
9292
              'type' => 'logic',
9293
              'valid_bit_used' => 0,
9294
            },
9295
            'direction' => 'out',
9296
            'hdlType' => 'std_logic',
9297
            'width' => 1,
9298
          },
9299
          'to_register12_data_in' => {
9300
            'attributes' => {
9301
              'bin_pt' => 0,
9302
              'is_floating_block' => 1,
9303
              'must_be_hdl_vector' => 1,
9304
              'period' => 1,
9305
              'port_id' => 0,
9306
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/data_in',
9307
              'type' => 'Bool',
9308
            },
9309
            'direction' => 'out',
9310
            'hdlType' => 'std_logic_vector(0 downto 0)',
9311
            'width' => 1,
9312
          },
9313
          'to_register12_dout' => {
9314
            'attributes' => {
9315
              'bin_pt' => 0,
9316
              'is_floating_block' => 1,
9317
              'must_be_hdl_vector' => 1,
9318
              'period' => 1,
9319
              'port_id' => 0,
9320
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/dout',
9321
              'type' => 'Bool',
9322
            },
9323
            'direction' => 'in',
9324
            'hdlType' => 'std_logic_vector(0 downto 0)',
9325
            'width' => 1,
9326
          },
9327
          'to_register12_en' => {
9328
            'attributes' => {
9329
              'bin_pt' => 0,
9330
              'is_floating_block' => 1,
9331
              'must_be_hdl_vector' => 1,
9332
              'period' => 1,
9333
              'port_id' => 1,
9334
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/en',
9335
              'type' => 'Bool',
9336
            },
9337
            'direction' => 'out',
9338
            'hdlType' => 'std_logic_vector(0 downto 0)',
9339
            'width' => 1,
9340
          },
9341
          'to_register13_ce' => {
9342
            'attributes' => {
9343
              'domain' => '',
9344
              'group' => 1,
9345
              'isCe' => 1,
9346
              'is_floating_block' => 1,
9347
              'period' => 1,
9348
              'type' => 'logic',
9349
            },
9350
            'direction' => 'out',
9351
            'hdlType' => 'std_logic',
9352
            'width' => 1,
9353
          },
9354
          'to_register13_clk' => {
9355
            'attributes' => {
9356
              'domain' => '',
9357
              'group' => 1,
9358
              'isClk' => 1,
9359
              'is_floating_block' => 1,
9360
              'period' => 1,
9361
              'type' => 'logic',
9362
            },
9363
            'direction' => 'out',
9364
            'hdlType' => 'std_logic',
9365
            'width' => 1,
9366
          },
9367
          'to_register13_clr' => {
9368
            'attributes' => {
9369
              'domain' => '',
9370
              'group' => 1,
9371
              'isClr' => 1,
9372
              'is_floating_block' => 1,
9373
              'period' => 1,
9374
              'type' => 'logic',
9375
              'valid_bit_used' => 0,
9376
            },
9377
            'direction' => 'out',
9378
            'hdlType' => 'std_logic',
9379
            'width' => 1,
9380
          },
9381
          'to_register13_data_in' => {
9382
            'attributes' => {
9383
              'bin_pt' => 0,
9384
              'is_floating_block' => 1,
9385
              'must_be_hdl_vector' => 1,
9386
              'period' => 1,
9387
              'port_id' => 0,
9388
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/data_in',
9389
              'type' => 'UFix_32_0',
9390
            },
9391
            'direction' => 'out',
9392
            'hdlType' => 'std_logic_vector(31 downto 0)',
9393
            'width' => 32,
9394
          },
9395
          'to_register13_dout' => {
9396
            'attributes' => {
9397
              'bin_pt' => 0,
9398
              'is_floating_block' => 1,
9399
              'must_be_hdl_vector' => 1,
9400
              'period' => 1,
9401
              'port_id' => 0,
9402
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/dout',
9403
              'type' => 'UFix_32_0',
9404
            },
9405
            'direction' => 'in',
9406
            'hdlType' => 'std_logic_vector(31 downto 0)',
9407
            'width' => 32,
9408
          },
9409
          'to_register13_en' => {
9410
            'attributes' => {
9411
              'bin_pt' => 0,
9412
              'is_floating_block' => 1,
9413
              'must_be_hdl_vector' => 1,
9414
              'period' => 1,
9415
              'port_id' => 1,
9416
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/en',
9417
              'type' => 'Bool',
9418
            },
9419
            'direction' => 'out',
9420
            'hdlType' => 'std_logic_vector(0 downto 0)',
9421
            'width' => 1,
9422
          },
9423
          'to_register14_ce' => {
9424
            'attributes' => {
9425
              'domain' => '',
9426
              'group' => 1,
9427
              'isCe' => 1,
9428
              'is_floating_block' => 1,
9429
              'period' => 1,
9430
              'type' => 'logic',
9431
            },
9432
            'direction' => 'out',
9433
            'hdlType' => 'std_logic',
9434
            'width' => 1,
9435
          },
9436
          'to_register14_clk' => {
9437
            'attributes' => {
9438
              'domain' => '',
9439
              'group' => 1,
9440
              'isClk' => 1,
9441
              'is_floating_block' => 1,
9442
              'period' => 1,
9443
              'type' => 'logic',
9444
            },
9445
            'direction' => 'out',
9446
            'hdlType' => 'std_logic',
9447
            'width' => 1,
9448
          },
9449
          'to_register14_clr' => {
9450
            'attributes' => {
9451
              'domain' => '',
9452
              'group' => 1,
9453
              'isClr' => 1,
9454
              'is_floating_block' => 1,
9455
              'period' => 1,
9456
              'type' => 'logic',
9457
              'valid_bit_used' => 0,
9458
            },
9459
            'direction' => 'out',
9460
            'hdlType' => 'std_logic',
9461
            'width' => 1,
9462
          },
9463
          'to_register14_data_in' => {
9464
            'attributes' => {
9465
              'bin_pt' => 0,
9466
              'is_floating_block' => 1,
9467
              'must_be_hdl_vector' => 1,
9468
              'period' => 1,
9469
              'port_id' => 0,
9470
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/data_in',
9471
              'type' => 'Bool',
9472
            },
9473
            'direction' => 'out',
9474
            'hdlType' => 'std_logic_vector(0 downto 0)',
9475
            'width' => 1,
9476
          },
9477
          'to_register14_dout' => {
9478
            'attributes' => {
9479
              'bin_pt' => 0,
9480
              'is_floating_block' => 1,
9481
              'must_be_hdl_vector' => 1,
9482
              'period' => 1,
9483
              'port_id' => 0,
9484
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/dout',
9485
              'type' => 'Bool',
9486
            },
9487
            'direction' => 'in',
9488
            'hdlType' => 'std_logic_vector(0 downto 0)',
9489
            'width' => 1,
9490
          },
9491
          'to_register14_en' => {
9492
            'attributes' => {
9493
              'bin_pt' => 0,
9494
              'is_floating_block' => 1,
9495
              'must_be_hdl_vector' => 1,
9496
              'period' => 1,
9497
              'port_id' => 1,
9498
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/en',
9499
              'type' => 'Bool',
9500
            },
9501
            'direction' => 'out',
9502
            'hdlType' => 'std_logic_vector(0 downto 0)',
9503
            'width' => 1,
9504
          },
9505
          'to_register15_ce' => {
9506
            'attributes' => {
9507
              'domain' => '',
9508
              'group' => 1,
9509
              'isCe' => 1,
9510
              'is_floating_block' => 1,
9511
              'period' => 1,
9512
              'type' => 'logic',
9513
            },
9514
            'direction' => 'out',
9515
            'hdlType' => 'std_logic',
9516
            'width' => 1,
9517
          },
9518
          'to_register15_clk' => {
9519
            'attributes' => {
9520
              'domain' => '',
9521
              'group' => 1,
9522
              'isClk' => 1,
9523
              'is_floating_block' => 1,
9524
              'period' => 1,
9525
              'type' => 'logic',
9526
            },
9527
            'direction' => 'out',
9528
            'hdlType' => 'std_logic',
9529
            'width' => 1,
9530
          },
9531
          'to_register15_clr' => {
9532
            'attributes' => {
9533
              'domain' => '',
9534
              'group' => 1,
9535
              'isClr' => 1,
9536
              'is_floating_block' => 1,
9537
              'period' => 1,
9538
              'type' => 'logic',
9539
              'valid_bit_used' => 0,
9540
            },
9541
            'direction' => 'out',
9542
            'hdlType' => 'std_logic',
9543
            'width' => 1,
9544
          },
9545
          'to_register15_data_in' => {
9546
            'attributes' => {
9547
              'bin_pt' => 0,
9548
              'is_floating_block' => 1,
9549
              'must_be_hdl_vector' => 1,
9550
              'period' => 1,
9551
              'port_id' => 0,
9552
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/data_in',
9553
              'type' => 'UFix_32_0',
9554
            },
9555
            'direction' => 'out',
9556
            'hdlType' => 'std_logic_vector(31 downto 0)',
9557
            'width' => 32,
9558
          },
9559
          'to_register15_dout' => {
9560
            'attributes' => {
9561
              'bin_pt' => 0,
9562
              'is_floating_block' => 1,
9563
              'must_be_hdl_vector' => 1,
9564
              'period' => 1,
9565
              'port_id' => 0,
9566
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/dout',
9567
              'type' => 'UFix_32_0',
9568
            },
9569
            'direction' => 'in',
9570
            'hdlType' => 'std_logic_vector(31 downto 0)',
9571
            'width' => 32,
9572
          },
9573
          'to_register15_en' => {
9574
            'attributes' => {
9575
              'bin_pt' => 0,
9576
              'is_floating_block' => 1,
9577
              'must_be_hdl_vector' => 1,
9578
              'period' => 1,
9579
              'port_id' => 1,
9580
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/en',
9581
              'type' => 'Bool',
9582
            },
9583
            'direction' => 'out',
9584
            'hdlType' => 'std_logic_vector(0 downto 0)',
9585
            'width' => 1,
9586
          },
9587
          'to_register16_ce' => {
9588
            'attributes' => {
9589
              'domain' => '',
9590
              'group' => 1,
9591
              'isCe' => 1,
9592
              'is_floating_block' => 1,
9593
              'period' => 1,
9594
              'type' => 'logic',
9595
            },
9596
            'direction' => 'out',
9597
            'hdlType' => 'std_logic',
9598
            'width' => 1,
9599
          },
9600
          'to_register16_clk' => {
9601
            'attributes' => {
9602
              'domain' => '',
9603
              'group' => 1,
9604
              'isClk' => 1,
9605
              'is_floating_block' => 1,
9606
              'period' => 1,
9607
              'type' => 'logic',
9608
            },
9609
            'direction' => 'out',
9610
            'hdlType' => 'std_logic',
9611
            'width' => 1,
9612
          },
9613
          'to_register16_clr' => {
9614
            'attributes' => {
9615
              'domain' => '',
9616
              'group' => 1,
9617
              'isClr' => 1,
9618
              'is_floating_block' => 1,
9619
              'period' => 1,
9620
              'type' => 'logic',
9621
              'valid_bit_used' => 0,
9622
            },
9623
            'direction' => 'out',
9624
            'hdlType' => 'std_logic',
9625
            'width' => 1,
9626
          },
9627
          'to_register16_data_in' => {
9628
            'attributes' => {
9629
              'bin_pt' => 0,
9630
              'is_floating_block' => 1,
9631
              'must_be_hdl_vector' => 1,
9632
              'period' => 1,
9633
              'port_id' => 0,
9634
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/data_in',
9635
              'type' => 'Bool',
9636
            },
9637
            'direction' => 'out',
9638
            'hdlType' => 'std_logic_vector(0 downto 0)',
9639
            'width' => 1,
9640
          },
9641
          'to_register16_dout' => {
9642
            'attributes' => {
9643
              'bin_pt' => 0,
9644
              'is_floating_block' => 1,
9645
              'must_be_hdl_vector' => 1,
9646
              'period' => 1,
9647
              'port_id' => 0,
9648
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/dout',
9649
              'type' => 'Bool',
9650
            },
9651
            'direction' => 'in',
9652
            'hdlType' => 'std_logic_vector(0 downto 0)',
9653
            'width' => 1,
9654
          },
9655
          'to_register16_en' => {
9656
            'attributes' => {
9657
              'bin_pt' => 0,
9658
              'is_floating_block' => 1,
9659
              'must_be_hdl_vector' => 1,
9660
              'period' => 1,
9661
              'port_id' => 1,
9662
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/en',
9663
              'type' => 'Bool',
9664
            },
9665
            'direction' => 'out',
9666
            'hdlType' => 'std_logic_vector(0 downto 0)',
9667
            'width' => 1,
9668
          },
9669
          'to_register17_ce' => {
9670
            'attributes' => {
9671
              'domain' => '',
9672
              'group' => 1,
9673
              'isCe' => 1,
9674
              'is_floating_block' => 1,
9675
              'period' => 1,
9676
              'type' => 'logic',
9677
            },
9678
            'direction' => 'out',
9679
            'hdlType' => 'std_logic',
9680
            'width' => 1,
9681
          },
9682
          'to_register17_clk' => {
9683
            'attributes' => {
9684
              'domain' => '',
9685
              'group' => 1,
9686
              'isClk' => 1,
9687
              'is_floating_block' => 1,
9688
              'period' => 1,
9689
              'type' => 'logic',
9690
            },
9691
            'direction' => 'out',
9692
            'hdlType' => 'std_logic',
9693
            'width' => 1,
9694
          },
9695
          'to_register17_clr' => {
9696
            'attributes' => {
9697
              'domain' => '',
9698
              'group' => 1,
9699
              'isClr' => 1,
9700
              'is_floating_block' => 1,
9701
              'period' => 1,
9702
              'type' => 'logic',
9703
              'valid_bit_used' => 0,
9704
            },
9705
            'direction' => 'out',
9706
            'hdlType' => 'std_logic',
9707
            'width' => 1,
9708
          },
9709
          'to_register17_data_in' => {
9710
            'attributes' => {
9711
              'bin_pt' => 0,
9712
              'is_floating_block' => 1,
9713
              'must_be_hdl_vector' => 1,
9714
              'period' => 1,
9715
              'port_id' => 0,
9716
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/data_in',
9717
              'type' => 'UFix_32_0',
9718
            },
9719
            'direction' => 'out',
9720
            'hdlType' => 'std_logic_vector(31 downto 0)',
9721
            'width' => 32,
9722
          },
9723
          'to_register17_dout' => {
9724
            'attributes' => {
9725
              'bin_pt' => 0,
9726
              'is_floating_block' => 1,
9727
              'must_be_hdl_vector' => 1,
9728
              'period' => 1,
9729
              'port_id' => 0,
9730
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/dout',
9731
              'type' => 'UFix_32_0',
9732
            },
9733
            'direction' => 'in',
9734
            'hdlType' => 'std_logic_vector(31 downto 0)',
9735
            'width' => 32,
9736
          },
9737
          'to_register17_en' => {
9738
            'attributes' => {
9739
              'bin_pt' => 0,
9740
              'is_floating_block' => 1,
9741
              'must_be_hdl_vector' => 1,
9742
              'period' => 1,
9743
              'port_id' => 1,
9744
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/en',
9745
              'type' => 'Bool',
9746
            },
9747
            'direction' => 'out',
9748
            'hdlType' => 'std_logic_vector(0 downto 0)',
9749
            'width' => 1,
9750
          },
9751
          'to_register18_ce' => {
9752
            'attributes' => {
9753
              'domain' => '',
9754
              'group' => 1,
9755
              'isCe' => 1,
9756
              'is_floating_block' => 1,
9757
              'period' => 1,
9758
              'type' => 'logic',
9759
            },
9760
            'direction' => 'out',
9761
            'hdlType' => 'std_logic',
9762
            'width' => 1,
9763
          },
9764
          'to_register18_clk' => {
9765
            'attributes' => {
9766
              'domain' => '',
9767
              'group' => 1,
9768
              'isClk' => 1,
9769
              'is_floating_block' => 1,
9770
              'period' => 1,
9771
              'type' => 'logic',
9772
            },
9773
            'direction' => 'out',
9774
            'hdlType' => 'std_logic',
9775
            'width' => 1,
9776
          },
9777
          'to_register18_clr' => {
9778
            'attributes' => {
9779
              'domain' => '',
9780
              'group' => 1,
9781
              'isClr' => 1,
9782
              'is_floating_block' => 1,
9783
              'period' => 1,
9784
              'type' => 'logic',
9785
              'valid_bit_used' => 0,
9786
            },
9787
            'direction' => 'out',
9788
            'hdlType' => 'std_logic',
9789
            'width' => 1,
9790
          },
9791
          'to_register18_data_in' => {
9792
            'attributes' => {
9793
              'bin_pt' => 0,
9794
              'is_floating_block' => 1,
9795
              'must_be_hdl_vector' => 1,
9796
              'period' => 1,
9797
              'port_id' => 0,
9798
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/data_in',
9799
              'type' => 'UFix_1_0',
9800
            },
9801
            'direction' => 'out',
9802
            'hdlType' => 'std_logic_vector(0 downto 0)',
9803
            'width' => 1,
9804
          },
9805
          'to_register18_dout' => {
9806
            'attributes' => {
9807
              'bin_pt' => 0,
9808
              'is_floating_block' => 1,
9809
              'must_be_hdl_vector' => 1,
9810
              'period' => 1,
9811
              'port_id' => 0,
9812
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/dout',
9813
              'type' => 'UFix_1_0',
9814
            },
9815
            'direction' => 'in',
9816
            'hdlType' => 'std_logic_vector(0 downto 0)',
9817
            'width' => 1,
9818
          },
9819
          'to_register18_en' => {
9820
            'attributes' => {
9821
              'bin_pt' => 0,
9822
              'is_floating_block' => 1,
9823
              'must_be_hdl_vector' => 1,
9824
              'period' => 1,
9825
              'port_id' => 1,
9826
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/en',
9827
              'type' => 'Bool',
9828
            },
9829
            'direction' => 'out',
9830
            'hdlType' => 'std_logic_vector(0 downto 0)',
9831
            'width' => 1,
9832
          },
9833
          'to_register19_ce' => {
9834
            'attributes' => {
9835
              'domain' => '',
9836
              'group' => 1,
9837
              'isCe' => 1,
9838
              'is_floating_block' => 1,
9839
              'period' => 1,
9840
              'type' => 'logic',
9841
            },
9842
            'direction' => 'out',
9843
            'hdlType' => 'std_logic',
9844
            'width' => 1,
9845
          },
9846
          'to_register19_clk' => {
9847
            'attributes' => {
9848
              'domain' => '',
9849
              'group' => 1,
9850
              'isClk' => 1,
9851
              'is_floating_block' => 1,
9852
              'period' => 1,
9853
              'type' => 'logic',
9854
            },
9855
            'direction' => 'out',
9856
            'hdlType' => 'std_logic',
9857
            'width' => 1,
9858
          },
9859
          'to_register19_clr' => {
9860
            'attributes' => {
9861
              'domain' => '',
9862
              'group' => 1,
9863
              'isClr' => 1,
9864
              'is_floating_block' => 1,
9865
              'period' => 1,
9866
              'type' => 'logic',
9867
              'valid_bit_used' => 0,
9868
            },
9869
            'direction' => 'out',
9870
            'hdlType' => 'std_logic',
9871
            'width' => 1,
9872
          },
9873
          'to_register19_data_in' => {
9874
            'attributes' => {
9875
              'bin_pt' => 0,
9876
              'is_floating_block' => 1,
9877
              'must_be_hdl_vector' => 1,
9878
              'period' => 1,
9879
              'port_id' => 0,
9880
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/data_in',
9881
              'type' => 'UFix_1_0',
9882
            },
9883
            'direction' => 'out',
9884
            'hdlType' => 'std_logic_vector(0 downto 0)',
9885
            'width' => 1,
9886
          },
9887
          'to_register19_dout' => {
9888
            'attributes' => {
9889
              'bin_pt' => 0,
9890
              'is_floating_block' => 1,
9891
              'must_be_hdl_vector' => 1,
9892
              'period' => 1,
9893
              'port_id' => 0,
9894
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/dout',
9895
              'type' => 'UFix_1_0',
9896
            },
9897
            'direction' => 'in',
9898
            'hdlType' => 'std_logic_vector(0 downto 0)',
9899
            'width' => 1,
9900
          },
9901
          'to_register19_en' => {
9902
            'attributes' => {
9903
              'bin_pt' => 0,
9904
              'is_floating_block' => 1,
9905
              'must_be_hdl_vector' => 1,
9906
              'period' => 1,
9907
              'port_id' => 1,
9908
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/en',
9909
              'type' => 'Bool',
9910
            },
9911
            'direction' => 'out',
9912
            'hdlType' => 'std_logic_vector(0 downto 0)',
9913
            'width' => 1,
9914
          },
9915
          'to_register1_ce' => {
9916
            'attributes' => {
9917
              'domain' => '',
9918
              'group' => 1,
9919
              'isCe' => 1,
9920
              'is_floating_block' => 1,
9921
              'period' => 1,
9922
              'type' => 'logic',
9923
            },
9924
            'direction' => 'out',
9925
            'hdlType' => 'std_logic',
9926
            'width' => 1,
9927
          },
9928
          'to_register1_clk' => {
9929
            'attributes' => {
9930
              'domain' => '',
9931
              'group' => 1,
9932
              'isClk' => 1,
9933
              'is_floating_block' => 1,
9934
              'period' => 1,
9935
              'type' => 'logic',
9936
            },
9937
            'direction' => 'out',
9938
            'hdlType' => 'std_logic',
9939
            'width' => 1,
9940
          },
9941
          'to_register1_clr' => {
9942
            'attributes' => {
9943
              'domain' => '',
9944
              'group' => 1,
9945
              'isClr' => 1,
9946
              'is_floating_block' => 1,
9947
              'period' => 1,
9948
              'type' => 'logic',
9949
              'valid_bit_used' => 0,
9950
            },
9951
            'direction' => 'out',
9952
            'hdlType' => 'std_logic',
9953
            'width' => 1,
9954
          },
9955
          'to_register1_data_in' => {
9956
            'attributes' => {
9957
              'bin_pt' => 0,
9958
              'is_floating_block' => 1,
9959
              'must_be_hdl_vector' => 1,
9960
              'period' => 1,
9961
              'port_id' => 0,
9962
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/data_in',
9963
              'type' => 'UFix_32_0',
9964
            },
9965
            'direction' => 'out',
9966
            'hdlType' => 'std_logic_vector(31 downto 0)',
9967
            'width' => 32,
9968
          },
9969
          'to_register1_dout' => {
9970
            'attributes' => {
9971
              'bin_pt' => 0,
9972
              'is_floating_block' => 1,
9973
              'must_be_hdl_vector' => 1,
9974
              'period' => 1,
9975
              'port_id' => 0,
9976
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/dout',
9977
              'type' => 'UFix_32_0',
9978
            },
9979
            'direction' => 'in',
9980
            'hdlType' => 'std_logic_vector(31 downto 0)',
9981
            'width' => 32,
9982
          },
9983
          'to_register1_en' => {
9984
            'attributes' => {
9985
              'bin_pt' => 0,
9986
              'is_floating_block' => 1,
9987
              'must_be_hdl_vector' => 1,
9988
              'period' => 1,
9989
              'port_id' => 1,
9990
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/en',
9991
              'type' => 'Bool',
9992
            },
9993
            'direction' => 'out',
9994
            'hdlType' => 'std_logic_vector(0 downto 0)',
9995
            'width' => 1,
9996
          },
9997
          'to_register20_ce' => {
9998
            'attributes' => {
9999
              'domain' => '',
10000
              'group' => 1,
10001
              'isCe' => 1,
10002
              'is_floating_block' => 1,
10003
              'period' => 1,
10004
              'type' => 'logic',
10005
            },
10006
            'direction' => 'out',
10007
            'hdlType' => 'std_logic',
10008
            'width' => 1,
10009
          },
10010
          'to_register20_clk' => {
10011
            'attributes' => {
10012
              'domain' => '',
10013
              'group' => 1,
10014
              'isClk' => 1,
10015
              'is_floating_block' => 1,
10016
              'period' => 1,
10017
              'type' => 'logic',
10018
            },
10019
            'direction' => 'out',
10020
            'hdlType' => 'std_logic',
10021
            'width' => 1,
10022
          },
10023
          'to_register20_clr' => {
10024
            'attributes' => {
10025
              'domain' => '',
10026
              'group' => 1,
10027
              'isClr' => 1,
10028
              'is_floating_block' => 1,
10029
              'period' => 1,
10030
              'type' => 'logic',
10031
              'valid_bit_used' => 0,
10032
            },
10033
            'direction' => 'out',
10034
            'hdlType' => 'std_logic',
10035
            'width' => 1,
10036
          },
10037
          'to_register20_data_in' => {
10038
            'attributes' => {
10039
              'bin_pt' => 0,
10040
              'is_floating_block' => 1,
10041
              'must_be_hdl_vector' => 1,
10042
              'period' => 1,
10043
              'port_id' => 0,
10044
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/data_in',
10045
              'type' => 'UFix_32_0',
10046
            },
10047
            'direction' => 'out',
10048
            'hdlType' => 'std_logic_vector(31 downto 0)',
10049
            'width' => 32,
10050
          },
10051
          'to_register20_dout' => {
10052
            'attributes' => {
10053
              'bin_pt' => 0,
10054
              'is_floating_block' => 1,
10055
              'must_be_hdl_vector' => 1,
10056
              'period' => 1,
10057
              'port_id' => 0,
10058
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/dout',
10059
              'type' => 'UFix_32_0',
10060
            },
10061
            'direction' => 'in',
10062
            'hdlType' => 'std_logic_vector(31 downto 0)',
10063
            'width' => 32,
10064
          },
10065
          'to_register20_en' => {
10066
            'attributes' => {
10067
              'bin_pt' => 0,
10068
              'is_floating_block' => 1,
10069
              'must_be_hdl_vector' => 1,
10070
              'period' => 1,
10071
              'port_id' => 1,
10072
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/en',
10073
              'type' => 'Bool',
10074
            },
10075
            'direction' => 'out',
10076
            'hdlType' => 'std_logic_vector(0 downto 0)',
10077
            'width' => 1,
10078
          },
10079
          'to_register21_ce' => {
10080
            'attributes' => {
10081
              'domain' => '',
10082
              'group' => 1,
10083
              'isCe' => 1,
10084
              'is_floating_block' => 1,
10085
              'period' => 1,
10086
              'type' => 'logic',
10087
            },
10088
            'direction' => 'out',
10089
            'hdlType' => 'std_logic',
10090
            'width' => 1,
10091
          },
10092
          'to_register21_clk' => {
10093
            'attributes' => {
10094
              'domain' => '',
10095
              'group' => 1,
10096
              'isClk' => 1,
10097
              'is_floating_block' => 1,
10098
              'period' => 1,
10099
              'type' => 'logic',
10100
            },
10101
            'direction' => 'out',
10102
            'hdlType' => 'std_logic',
10103
            'width' => 1,
10104
          },
10105
          'to_register21_clr' => {
10106
            'attributes' => {
10107
              'domain' => '',
10108
              'group' => 1,
10109
              'isClr' => 1,
10110
              'is_floating_block' => 1,
10111
              'period' => 1,
10112
              'type' => 'logic',
10113
              'valid_bit_used' => 0,
10114
            },
10115
            'direction' => 'out',
10116
            'hdlType' => 'std_logic',
10117
            'width' => 1,
10118
          },
10119
          'to_register21_data_in' => {
10120
            'attributes' => {
10121
              'bin_pt' => 0,
10122
              'is_floating_block' => 1,
10123
              'must_be_hdl_vector' => 1,
10124
              'period' => 1,
10125
              'port_id' => 0,
10126
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/data_in',
10127
              'type' => 'Bool',
10128
            },
10129
            'direction' => 'out',
10130
            'hdlType' => 'std_logic_vector(0 downto 0)',
10131
            'width' => 1,
10132
          },
10133
          'to_register21_dout' => {
10134
            'attributes' => {
10135
              'bin_pt' => 0,
10136
              'is_floating_block' => 1,
10137
              'must_be_hdl_vector' => 1,
10138
              'period' => 1,
10139
              'port_id' => 0,
10140
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/dout',
10141
              'type' => 'Bool',
10142
            },
10143
            'direction' => 'in',
10144
            'hdlType' => 'std_logic_vector(0 downto 0)',
10145
            'width' => 1,
10146
          },
10147
          'to_register21_en' => {
10148
            'attributes' => {
10149
              'bin_pt' => 0,
10150
              'is_floating_block' => 1,
10151
              'must_be_hdl_vector' => 1,
10152
              'period' => 1,
10153
              'port_id' => 1,
10154
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/en',
10155
              'type' => 'Bool',
10156
            },
10157
            'direction' => 'out',
10158
            'hdlType' => 'std_logic_vector(0 downto 0)',
10159
            'width' => 1,
10160
          },
10161
          'to_register22_ce' => {
10162
            'attributes' => {
10163
              'domain' => '',
10164
              'group' => 1,
10165
              'isCe' => 1,
10166
              'is_floating_block' => 1,
10167
              'period' => 1,
10168
              'type' => 'logic',
10169
            },
10170
            'direction' => 'out',
10171
            'hdlType' => 'std_logic',
10172
            'width' => 1,
10173
          },
10174
          'to_register22_clk' => {
10175
            'attributes' => {
10176
              'domain' => '',
10177
              'group' => 1,
10178
              'isClk' => 1,
10179
              'is_floating_block' => 1,
10180
              'period' => 1,
10181
              'type' => 'logic',
10182
            },
10183
            'direction' => 'out',
10184
            'hdlType' => 'std_logic',
10185
            'width' => 1,
10186
          },
10187
          'to_register22_clr' => {
10188
            'attributes' => {
10189
              'domain' => '',
10190
              'group' => 1,
10191
              'isClr' => 1,
10192
              'is_floating_block' => 1,
10193
              'period' => 1,
10194
              'type' => 'logic',
10195
              'valid_bit_used' => 0,
10196
            },
10197
            'direction' => 'out',
10198
            'hdlType' => 'std_logic',
10199
            'width' => 1,
10200
          },
10201
          'to_register22_data_in' => {
10202
            'attributes' => {
10203
              'bin_pt' => 0,
10204
              'is_floating_block' => 1,
10205
              'must_be_hdl_vector' => 1,
10206
              'period' => 1,
10207
              'port_id' => 0,
10208
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/data_in',
10209
              'type' => 'UFix_32_0',
10210
            },
10211
            'direction' => 'out',
10212
            'hdlType' => 'std_logic_vector(31 downto 0)',
10213
            'width' => 32,
10214
          },
10215
          'to_register22_dout' => {
10216
            'attributes' => {
10217
              'bin_pt' => 0,
10218
              'is_floating_block' => 1,
10219
              'must_be_hdl_vector' => 1,
10220
              'period' => 1,
10221
              'port_id' => 0,
10222
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/dout',
10223
              'type' => 'UFix_32_0',
10224
            },
10225
            'direction' => 'in',
10226
            'hdlType' => 'std_logic_vector(31 downto 0)',
10227
            'width' => 32,
10228
          },
10229
          'to_register22_en' => {
10230
            'attributes' => {
10231
              'bin_pt' => 0,
10232
              'is_floating_block' => 1,
10233
              'must_be_hdl_vector' => 1,
10234
              'period' => 1,
10235
              'port_id' => 1,
10236
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/en',
10237
              'type' => 'Bool',
10238
            },
10239
            'direction' => 'out',
10240
            'hdlType' => 'std_logic_vector(0 downto 0)',
10241
            'width' => 1,
10242
          },
10243
          'to_register23_ce' => {
10244
            'attributes' => {
10245
              'domain' => '',
10246
              'group' => 1,
10247
              'isCe' => 1,
10248
              'is_floating_block' => 1,
10249
              'period' => 1,
10250
              'type' => 'logic',
10251
            },
10252
            'direction' => 'out',
10253
            'hdlType' => 'std_logic',
10254
            'width' => 1,
10255
          },
10256
          'to_register23_clk' => {
10257
            'attributes' => {
10258
              'domain' => '',
10259
              'group' => 1,
10260
              'isClk' => 1,
10261
              'is_floating_block' => 1,
10262
              'period' => 1,
10263
              'type' => 'logic',
10264
            },
10265
            'direction' => 'out',
10266
            'hdlType' => 'std_logic',
10267
            'width' => 1,
10268
          },
10269
          'to_register23_clr' => {
10270
            'attributes' => {
10271
              'domain' => '',
10272
              'group' => 1,
10273
              'isClr' => 1,
10274
              'is_floating_block' => 1,
10275
              'period' => 1,
10276
              'type' => 'logic',
10277
              'valid_bit_used' => 0,
10278
            },
10279
            'direction' => 'out',
10280
            'hdlType' => 'std_logic',
10281
            'width' => 1,
10282
          },
10283
          'to_register23_data_in' => {
10284
            'attributes' => {
10285
              'bin_pt' => 0,
10286
              'is_floating_block' => 1,
10287
              'must_be_hdl_vector' => 1,
10288
              'period' => 1,
10289
              'port_id' => 0,
10290
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/data_in',
10291
              'type' => 'Bool',
10292
            },
10293
            'direction' => 'out',
10294
            'hdlType' => 'std_logic_vector(0 downto 0)',
10295
            'width' => 1,
10296
          },
10297
          'to_register23_dout' => {
10298
            'attributes' => {
10299
              'bin_pt' => 0,
10300
              'is_floating_block' => 1,
10301
              'must_be_hdl_vector' => 1,
10302
              'period' => 1,
10303
              'port_id' => 0,
10304
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/dout',
10305
              'type' => 'Bool',
10306
            },
10307
            'direction' => 'in',
10308
            'hdlType' => 'std_logic_vector(0 downto 0)',
10309
            'width' => 1,
10310
          },
10311
          'to_register23_en' => {
10312
            'attributes' => {
10313
              'bin_pt' => 0,
10314
              'is_floating_block' => 1,
10315
              'must_be_hdl_vector' => 1,
10316
              'period' => 1,
10317
              'port_id' => 1,
10318
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/en',
10319
              'type' => 'Bool',
10320
            },
10321
            'direction' => 'out',
10322
            'hdlType' => 'std_logic_vector(0 downto 0)',
10323
            'width' => 1,
10324
          },
10325
          'to_register24_ce' => {
10326
            'attributes' => {
10327
              'domain' => '',
10328
              'group' => 1,
10329
              'isCe' => 1,
10330
              'is_floating_block' => 1,
10331
              'period' => 1,
10332
              'type' => 'logic',
10333
            },
10334
            'direction' => 'out',
10335
            'hdlType' => 'std_logic',
10336
            'width' => 1,
10337
          },
10338
          'to_register24_clk' => {
10339
            'attributes' => {
10340
              'domain' => '',
10341
              'group' => 1,
10342
              'isClk' => 1,
10343
              'is_floating_block' => 1,
10344
              'period' => 1,
10345
              'type' => 'logic',
10346
            },
10347
            'direction' => 'out',
10348
            'hdlType' => 'std_logic',
10349
            'width' => 1,
10350
          },
10351
          'to_register24_clr' => {
10352
            'attributes' => {
10353
              'domain' => '',
10354
              'group' => 1,
10355
              'isClr' => 1,
10356
              'is_floating_block' => 1,
10357
              'period' => 1,
10358
              'type' => 'logic',
10359
              'valid_bit_used' => 0,
10360
            },
10361
            'direction' => 'out',
10362
            'hdlType' => 'std_logic',
10363
            'width' => 1,
10364
          },
10365
          'to_register24_data_in' => {
10366
            'attributes' => {
10367
              'bin_pt' => 0,
10368
              'is_floating_block' => 1,
10369
              'must_be_hdl_vector' => 1,
10370
              'period' => 1,
10371
              'port_id' => 0,
10372
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/data_in',
10373
              'type' => 'UFix_32_0',
10374
            },
10375
            'direction' => 'out',
10376
            'hdlType' => 'std_logic_vector(31 downto 0)',
10377
            'width' => 32,
10378
          },
10379
          'to_register24_dout' => {
10380
            'attributes' => {
10381
              'bin_pt' => 0,
10382
              'is_floating_block' => 1,
10383
              'must_be_hdl_vector' => 1,
10384
              'period' => 1,
10385
              'port_id' => 0,
10386
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/dout',
10387
              'type' => 'UFix_32_0',
10388
            },
10389
            'direction' => 'in',
10390
            'hdlType' => 'std_logic_vector(31 downto 0)',
10391
            'width' => 32,
10392
          },
10393
          'to_register24_en' => {
10394
            'attributes' => {
10395
              'bin_pt' => 0,
10396
              'is_floating_block' => 1,
10397
              'must_be_hdl_vector' => 1,
10398
              'period' => 1,
10399
              'port_id' => 1,
10400
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/en',
10401
              'type' => 'Bool',
10402
            },
10403
            'direction' => 'out',
10404
            'hdlType' => 'std_logic_vector(0 downto 0)',
10405
            'width' => 1,
10406
          },
10407
          'to_register25_ce' => {
10408
            'attributes' => {
10409
              'domain' => '',
10410
              'group' => 1,
10411
              'isCe' => 1,
10412
              'is_floating_block' => 1,
10413
              'period' => 1,
10414
              'type' => 'logic',
10415
            },
10416
            'direction' => 'out',
10417
            'hdlType' => 'std_logic',
10418
            'width' => 1,
10419
          },
10420
          'to_register25_clk' => {
10421
            'attributes' => {
10422
              'domain' => '',
10423
              'group' => 1,
10424
              'isClk' => 1,
10425
              'is_floating_block' => 1,
10426
              'period' => 1,
10427
              'type' => 'logic',
10428
            },
10429
            'direction' => 'out',
10430
            'hdlType' => 'std_logic',
10431
            'width' => 1,
10432
          },
10433
          'to_register25_clr' => {
10434
            'attributes' => {
10435
              'domain' => '',
10436
              'group' => 1,
10437
              'isClr' => 1,
10438
              'is_floating_block' => 1,
10439
              'period' => 1,
10440
              'type' => 'logic',
10441
              'valid_bit_used' => 0,
10442
            },
10443
            'direction' => 'out',
10444
            'hdlType' => 'std_logic',
10445
            'width' => 1,
10446
          },
10447
          'to_register25_data_in' => {
10448
            'attributes' => {
10449
              'bin_pt' => 0,
10450
              'is_floating_block' => 1,
10451
              'must_be_hdl_vector' => 1,
10452
              'period' => 1,
10453
              'port_id' => 0,
10454
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/data_in',
10455
              'type' => 'Bool',
10456
            },
10457
            'direction' => 'out',
10458
            'hdlType' => 'std_logic_vector(0 downto 0)',
10459
            'width' => 1,
10460
          },
10461
          'to_register25_dout' => {
10462
            'attributes' => {
10463
              'bin_pt' => 0,
10464
              'is_floating_block' => 1,
10465
              'must_be_hdl_vector' => 1,
10466
              'period' => 1,
10467
              'port_id' => 0,
10468
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/dout',
10469
              'type' => 'Bool',
10470
            },
10471
            'direction' => 'in',
10472
            'hdlType' => 'std_logic_vector(0 downto 0)',
10473
            'width' => 1,
10474
          },
10475
          'to_register25_en' => {
10476
            'attributes' => {
10477
              'bin_pt' => 0,
10478
              'is_floating_block' => 1,
10479
              'must_be_hdl_vector' => 1,
10480
              'period' => 1,
10481
              'port_id' => 1,
10482
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/en',
10483
              'type' => 'Bool',
10484
            },
10485
            'direction' => 'out',
10486
            'hdlType' => 'std_logic_vector(0 downto 0)',
10487
            'width' => 1,
10488
          },
10489
          'to_register26_ce' => {
10490
            'attributes' => {
10491
              'domain' => '',
10492
              'group' => 1,
10493
              'isCe' => 1,
10494
              'is_floating_block' => 1,
10495
              'period' => 1,
10496
              'type' => 'logic',
10497
            },
10498
            'direction' => 'out',
10499
            'hdlType' => 'std_logic',
10500
            'width' => 1,
10501
          },
10502
          'to_register26_clk' => {
10503
            'attributes' => {
10504
              'domain' => '',
10505
              'group' => 1,
10506
              'isClk' => 1,
10507
              'is_floating_block' => 1,
10508
              'period' => 1,
10509
              'type' => 'logic',
10510
            },
10511
            'direction' => 'out',
10512
            'hdlType' => 'std_logic',
10513
            'width' => 1,
10514
          },
10515
          'to_register26_clr' => {
10516
            'attributes' => {
10517
              'domain' => '',
10518
              'group' => 1,
10519
              'isClr' => 1,
10520
              'is_floating_block' => 1,
10521
              'period' => 1,
10522
              'type' => 'logic',
10523
              'valid_bit_used' => 0,
10524
            },
10525
            'direction' => 'out',
10526
            'hdlType' => 'std_logic',
10527
            'width' => 1,
10528
          },
10529
          'to_register26_data_in' => {
10530
            'attributes' => {
10531
              'bin_pt' => 0,
10532
              'is_floating_block' => 1,
10533
              'must_be_hdl_vector' => 1,
10534
              'period' => 1,
10535
              'port_id' => 0,
10536
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/data_in',
10537
              'type' => 'UFix_32_0',
10538
            },
10539
            'direction' => 'out',
10540
            'hdlType' => 'std_logic_vector(31 downto 0)',
10541
            'width' => 32,
10542
          },
10543
          'to_register26_dout' => {
10544
            'attributes' => {
10545
              'bin_pt' => 0,
10546
              'is_floating_block' => 1,
10547
              'must_be_hdl_vector' => 1,
10548
              'period' => 1,
10549
              'port_id' => 0,
10550
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/dout',
10551
              'type' => 'UFix_32_0',
10552
            },
10553
            'direction' => 'in',
10554
            'hdlType' => 'std_logic_vector(31 downto 0)',
10555
            'width' => 32,
10556
          },
10557
          'to_register26_en' => {
10558
            'attributes' => {
10559
              'bin_pt' => 0,
10560
              'is_floating_block' => 1,
10561
              'must_be_hdl_vector' => 1,
10562
              'period' => 1,
10563
              'port_id' => 1,
10564
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/en',
10565
              'type' => 'Bool',
10566
            },
10567
            'direction' => 'out',
10568
            'hdlType' => 'std_logic_vector(0 downto 0)',
10569
            'width' => 1,
10570
          },
10571
          'to_register27_ce' => {
10572
            'attributes' => {
10573
              'domain' => '',
10574
              'group' => 1,
10575
              'isCe' => 1,
10576
              'is_floating_block' => 1,
10577
              'period' => 1,
10578
              'type' => 'logic',
10579
            },
10580
            'direction' => 'out',
10581
            'hdlType' => 'std_logic',
10582
            'width' => 1,
10583
          },
10584
          'to_register27_clk' => {
10585
            'attributes' => {
10586
              'domain' => '',
10587
              'group' => 1,
10588
              'isClk' => 1,
10589
              'is_floating_block' => 1,
10590
              'period' => 1,
10591
              'type' => 'logic',
10592
            },
10593
            'direction' => 'out',
10594
            'hdlType' => 'std_logic',
10595
            'width' => 1,
10596
          },
10597
          'to_register27_clr' => {
10598
            'attributes' => {
10599
              'domain' => '',
10600
              'group' => 1,
10601
              'isClr' => 1,
10602
              'is_floating_block' => 1,
10603
              'period' => 1,
10604
              'type' => 'logic',
10605
              'valid_bit_used' => 0,
10606
            },
10607
            'direction' => 'out',
10608
            'hdlType' => 'std_logic',
10609
            'width' => 1,
10610
          },
10611
          'to_register27_data_in' => {
10612
            'attributes' => {
10613
              'bin_pt' => 0,
10614
              'is_floating_block' => 1,
10615
              'must_be_hdl_vector' => 1,
10616
              'period' => 1,
10617
              'port_id' => 0,
10618
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/data_in',
10619
              'type' => 'Bool',
10620
            },
10621
            'direction' => 'out',
10622
            'hdlType' => 'std_logic_vector(0 downto 0)',
10623
            'width' => 1,
10624
          },
10625
          'to_register27_dout' => {
10626
            'attributes' => {
10627
              'bin_pt' => 0,
10628
              'is_floating_block' => 1,
10629
              'must_be_hdl_vector' => 1,
10630
              'period' => 1,
10631
              'port_id' => 0,
10632
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/dout',
10633
              'type' => 'Bool',
10634
            },
10635
            'direction' => 'in',
10636
            'hdlType' => 'std_logic_vector(0 downto 0)',
10637
            'width' => 1,
10638
          },
10639
          'to_register27_en' => {
10640
            'attributes' => {
10641
              'bin_pt' => 0,
10642
              'is_floating_block' => 1,
10643
              'must_be_hdl_vector' => 1,
10644
              'period' => 1,
10645
              'port_id' => 1,
10646
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/en',
10647
              'type' => 'Bool',
10648
            },
10649
            'direction' => 'out',
10650
            'hdlType' => 'std_logic_vector(0 downto 0)',
10651
            'width' => 1,
10652
          },
10653
          'to_register28_ce' => {
10654
            'attributes' => {
10655
              'domain' => '',
10656
              'group' => 1,
10657
              'isCe' => 1,
10658
              'is_floating_block' => 1,
10659
              'period' => 1,
10660
              'type' => 'logic',
10661
            },
10662
            'direction' => 'out',
10663
            'hdlType' => 'std_logic',
10664
            'width' => 1,
10665
          },
10666
          'to_register28_clk' => {
10667
            'attributes' => {
10668
              'domain' => '',
10669
              'group' => 1,
10670
              'isClk' => 1,
10671
              'is_floating_block' => 1,
10672
              'period' => 1,
10673
              'type' => 'logic',
10674
            },
10675
            'direction' => 'out',
10676
            'hdlType' => 'std_logic',
10677
            'width' => 1,
10678
          },
10679
          'to_register28_clr' => {
10680
            'attributes' => {
10681
              'domain' => '',
10682
              'group' => 1,
10683
              'isClr' => 1,
10684
              'is_floating_block' => 1,
10685
              'period' => 1,
10686
              'type' => 'logic',
10687
              'valid_bit_used' => 0,
10688
            },
10689
            'direction' => 'out',
10690
            'hdlType' => 'std_logic',
10691
            'width' => 1,
10692
          },
10693
          'to_register28_data_in' => {
10694
            'attributes' => {
10695
              'bin_pt' => 0,
10696
              'is_floating_block' => 1,
10697
              'must_be_hdl_vector' => 1,
10698
              'period' => 1,
10699
              'port_id' => 0,
10700
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/data_in',
10701
              'type' => 'UFix_32_0',
10702
            },
10703
            'direction' => 'out',
10704
            'hdlType' => 'std_logic_vector(31 downto 0)',
10705
            'width' => 32,
10706
          },
10707
          'to_register28_dout' => {
10708
            'attributes' => {
10709
              'bin_pt' => 0,
10710
              'is_floating_block' => 1,
10711
              'must_be_hdl_vector' => 1,
10712
              'period' => 1,
10713
              'port_id' => 0,
10714
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/dout',
10715
              'type' => 'UFix_32_0',
10716
            },
10717
            'direction' => 'in',
10718
            'hdlType' => 'std_logic_vector(31 downto 0)',
10719
            'width' => 32,
10720
          },
10721
          'to_register28_en' => {
10722
            'attributes' => {
10723
              'bin_pt' => 0,
10724
              'is_floating_block' => 1,
10725
              'must_be_hdl_vector' => 1,
10726
              'period' => 1,
10727
              'port_id' => 1,
10728
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/en',
10729
              'type' => 'Bool',
10730
            },
10731
            'direction' => 'out',
10732
            'hdlType' => 'std_logic_vector(0 downto 0)',
10733
            'width' => 1,
10734
          },
10735
          'to_register29_ce' => {
10736
            'attributes' => {
10737
              'domain' => '',
10738
              'group' => 1,
10739
              'isCe' => 1,
10740
              'is_floating_block' => 1,
10741
              'period' => 1,
10742
              'type' => 'logic',
10743
            },
10744
            'direction' => 'out',
10745
            'hdlType' => 'std_logic',
10746
            'width' => 1,
10747
          },
10748
          'to_register29_clk' => {
10749
            'attributes' => {
10750
              'domain' => '',
10751
              'group' => 1,
10752
              'isClk' => 1,
10753
              'is_floating_block' => 1,
10754
              'period' => 1,
10755
              'type' => 'logic',
10756
            },
10757
            'direction' => 'out',
10758
            'hdlType' => 'std_logic',
10759
            'width' => 1,
10760
          },
10761
          'to_register29_clr' => {
10762
            'attributes' => {
10763
              'domain' => '',
10764
              'group' => 1,
10765
              'isClr' => 1,
10766
              'is_floating_block' => 1,
10767
              'period' => 1,
10768
              'type' => 'logic',
10769
              'valid_bit_used' => 0,
10770
            },
10771
            'direction' => 'out',
10772
            'hdlType' => 'std_logic',
10773
            'width' => 1,
10774
          },
10775
          'to_register29_data_in' => {
10776
            'attributes' => {
10777
              'bin_pt' => 0,
10778
              'is_floating_block' => 1,
10779
              'must_be_hdl_vector' => 1,
10780
              'period' => 1,
10781
              'port_id' => 0,
10782
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/data_in',
10783
              'type' => 'Bool',
10784
            },
10785
            'direction' => 'out',
10786
            'hdlType' => 'std_logic_vector(0 downto 0)',
10787
            'width' => 1,
10788
          },
10789
          'to_register29_dout' => {
10790
            'attributes' => {
10791
              'bin_pt' => 0,
10792
              'is_floating_block' => 1,
10793
              'must_be_hdl_vector' => 1,
10794
              'period' => 1,
10795
              'port_id' => 0,
10796
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/dout',
10797
              'type' => 'Bool',
10798
            },
10799
            'direction' => 'in',
10800
            'hdlType' => 'std_logic_vector(0 downto 0)',
10801
            'width' => 1,
10802
          },
10803
          'to_register29_en' => {
10804
            'attributes' => {
10805
              'bin_pt' => 0,
10806
              'is_floating_block' => 1,
10807
              'must_be_hdl_vector' => 1,
10808
              'period' => 1,
10809
              'port_id' => 1,
10810
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/en',
10811
              'type' => 'Bool',
10812
            },
10813
            'direction' => 'out',
10814
            'hdlType' => 'std_logic_vector(0 downto 0)',
10815
            'width' => 1,
10816
          },
10817
          'to_register2_ce' => {
10818
            'attributes' => {
10819
              'domain' => '',
10820
              'group' => 1,
10821
              'isCe' => 1,
10822
              'is_floating_block' => 1,
10823
              'period' => 1,
10824
              'type' => 'logic',
10825
            },
10826
            'direction' => 'out',
10827
            'hdlType' => 'std_logic',
10828
            'width' => 1,
10829
          },
10830
          'to_register2_clk' => {
10831
            'attributes' => {
10832
              'domain' => '',
10833
              'group' => 1,
10834
              'isClk' => 1,
10835
              'is_floating_block' => 1,
10836
              'period' => 1,
10837
              'type' => 'logic',
10838
            },
10839
            'direction' => 'out',
10840
            'hdlType' => 'std_logic',
10841
            'width' => 1,
10842
          },
10843
          'to_register2_clr' => {
10844
            'attributes' => {
10845
              'domain' => '',
10846
              'group' => 1,
10847
              'isClr' => 1,
10848
              'is_floating_block' => 1,
10849
              'period' => 1,
10850
              'type' => 'logic',
10851
              'valid_bit_used' => 0,
10852
            },
10853
            'direction' => 'out',
10854
            'hdlType' => 'std_logic',
10855
            'width' => 1,
10856
          },
10857
          'to_register2_data_in' => {
10858
            'attributes' => {
10859
              'bin_pt' => 0,
10860
              'is_floating_block' => 1,
10861
              'must_be_hdl_vector' => 1,
10862
              'period' => 1,
10863
              'port_id' => 0,
10864
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/data_in',
10865
              'type' => 'UFix_32_0',
10866
            },
10867
            'direction' => 'out',
10868
            'hdlType' => 'std_logic_vector(31 downto 0)',
10869
            'width' => 32,
10870
          },
10871
          'to_register2_dout' => {
10872
            'attributes' => {
10873
              'bin_pt' => 0,
10874
              'is_floating_block' => 1,
10875
              'must_be_hdl_vector' => 1,
10876
              'period' => 1,
10877
              'port_id' => 0,
10878
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/dout',
10879
              'type' => 'UFix_32_0',
10880
            },
10881
            'direction' => 'in',
10882
            'hdlType' => 'std_logic_vector(31 downto 0)',
10883
            'width' => 32,
10884
          },
10885
          'to_register2_en' => {
10886
            'attributes' => {
10887
              'bin_pt' => 0,
10888
              'is_floating_block' => 1,
10889
              'must_be_hdl_vector' => 1,
10890
              'period' => 1,
10891
              'port_id' => 1,
10892
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/en',
10893
              'type' => 'Bool',
10894
            },
10895
            'direction' => 'out',
10896
            'hdlType' => 'std_logic_vector(0 downto 0)',
10897
            'width' => 1,
10898
          },
10899
          'to_register30_ce' => {
10900
            'attributes' => {
10901
              'domain' => '',
10902
              'group' => 1,
10903
              'isCe' => 1,
10904
              'is_floating_block' => 1,
10905
              'period' => 1,
10906
              'type' => 'logic',
10907
            },
10908
            'direction' => 'out',
10909
            'hdlType' => 'std_logic',
10910
            'width' => 1,
10911
          },
10912
          'to_register30_clk' => {
10913
            'attributes' => {
10914
              'domain' => '',
10915
              'group' => 1,
10916
              'isClk' => 1,
10917
              'is_floating_block' => 1,
10918
              'period' => 1,
10919
              'type' => 'logic',
10920
            },
10921
            'direction' => 'out',
10922
            'hdlType' => 'std_logic',
10923
            'width' => 1,
10924
          },
10925
          'to_register30_clr' => {
10926
            'attributes' => {
10927
              'domain' => '',
10928
              'group' => 1,
10929
              'isClr' => 1,
10930
              'is_floating_block' => 1,
10931
              'period' => 1,
10932
              'type' => 'logic',
10933
              'valid_bit_used' => 0,
10934
            },
10935
            'direction' => 'out',
10936
            'hdlType' => 'std_logic',
10937
            'width' => 1,
10938
          },
10939
          'to_register30_data_in' => {
10940
            'attributes' => {
10941
              'bin_pt' => 0,
10942
              'is_floating_block' => 1,
10943
              'must_be_hdl_vector' => 1,
10944
              'period' => 1,
10945
              'port_id' => 0,
10946
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/data_in',
10947
              'type' => 'UFix_32_0',
10948
            },
10949
            'direction' => 'out',
10950
            'hdlType' => 'std_logic_vector(31 downto 0)',
10951
            'width' => 32,
10952
          },
10953
          'to_register30_dout' => {
10954
            'attributes' => {
10955
              'bin_pt' => 0,
10956
              'is_floating_block' => 1,
10957
              'must_be_hdl_vector' => 1,
10958
              'period' => 1,
10959
              'port_id' => 0,
10960
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/dout',
10961
              'type' => 'UFix_32_0',
10962
            },
10963
            'direction' => 'in',
10964
            'hdlType' => 'std_logic_vector(31 downto 0)',
10965
            'width' => 32,
10966
          },
10967
          'to_register30_en' => {
10968
            'attributes' => {
10969
              'bin_pt' => 0,
10970
              'is_floating_block' => 1,
10971
              'must_be_hdl_vector' => 1,
10972
              'period' => 1,
10973
              'port_id' => 1,
10974
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/en',
10975
              'type' => 'Bool',
10976
            },
10977
            'direction' => 'out',
10978
            'hdlType' => 'std_logic_vector(0 downto 0)',
10979
            'width' => 1,
10980
          },
10981
          'to_register31_ce' => {
10982
            'attributes' => {
10983
              'domain' => '',
10984
              'group' => 1,
10985
              'isCe' => 1,
10986
              'is_floating_block' => 1,
10987
              'period' => 1,
10988
              'type' => 'logic',
10989
            },
10990
            'direction' => 'out',
10991
            'hdlType' => 'std_logic',
10992
            'width' => 1,
10993
          },
10994
          'to_register31_clk' => {
10995
            'attributes' => {
10996
              'domain' => '',
10997
              'group' => 1,
10998
              'isClk' => 1,
10999
              'is_floating_block' => 1,
11000
              'period' => 1,
11001
              'type' => 'logic',
11002
            },
11003
            'direction' => 'out',
11004
            'hdlType' => 'std_logic',
11005
            'width' => 1,
11006
          },
11007
          'to_register31_clr' => {
11008
            'attributes' => {
11009
              'domain' => '',
11010
              'group' => 1,
11011
              'isClr' => 1,
11012
              'is_floating_block' => 1,
11013
              'period' => 1,
11014
              'type' => 'logic',
11015
              'valid_bit_used' => 0,
11016
            },
11017
            'direction' => 'out',
11018
            'hdlType' => 'std_logic',
11019
            'width' => 1,
11020
          },
11021
          'to_register31_data_in' => {
11022
            'attributes' => {
11023
              'bin_pt' => 0,
11024
              'is_floating_block' => 1,
11025
              'must_be_hdl_vector' => 1,
11026
              'period' => 1,
11027
              'port_id' => 0,
11028
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/data_in',
11029
              'type' => 'Bool',
11030
            },
11031
            'direction' => 'out',
11032
            'hdlType' => 'std_logic_vector(0 downto 0)',
11033
            'width' => 1,
11034
          },
11035
          'to_register31_dout' => {
11036
            'attributes' => {
11037
              'bin_pt' => 0,
11038
              'is_floating_block' => 1,
11039
              'must_be_hdl_vector' => 1,
11040
              'period' => 1,
11041
              'port_id' => 0,
11042
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/dout',
11043
              'type' => 'Bool',
11044
            },
11045
            'direction' => 'in',
11046
            'hdlType' => 'std_logic_vector(0 downto 0)',
11047
            'width' => 1,
11048
          },
11049
          'to_register31_en' => {
11050
            'attributes' => {
11051
              'bin_pt' => 0,
11052
              'is_floating_block' => 1,
11053
              'must_be_hdl_vector' => 1,
11054
              'period' => 1,
11055
              'port_id' => 1,
11056
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/en',
11057
              'type' => 'Bool',
11058
            },
11059
            'direction' => 'out',
11060
            'hdlType' => 'std_logic_vector(0 downto 0)',
11061
            'width' => 1,
11062
          },
11063
          'to_register32_ce' => {
11064
            'attributes' => {
11065
              'domain' => '',
11066
              'group' => 1,
11067
              'isCe' => 1,
11068
              'is_floating_block' => 1,
11069
              'period' => 1,
11070
              'type' => 'logic',
11071
            },
11072
            'direction' => 'out',
11073
            'hdlType' => 'std_logic',
11074
            'width' => 1,
11075
          },
11076
          'to_register32_clk' => {
11077
            'attributes' => {
11078
              'domain' => '',
11079
              'group' => 1,
11080
              'isClk' => 1,
11081
              'is_floating_block' => 1,
11082
              'period' => 1,
11083
              'type' => 'logic',
11084
            },
11085
            'direction' => 'out',
11086
            'hdlType' => 'std_logic',
11087
            'width' => 1,
11088
          },
11089
          'to_register32_clr' => {
11090
            'attributes' => {
11091
              'domain' => '',
11092
              'group' => 1,
11093
              'isClr' => 1,
11094
              'is_floating_block' => 1,
11095
              'period' => 1,
11096
              'type' => 'logic',
11097
              'valid_bit_used' => 0,
11098
            },
11099
            'direction' => 'out',
11100
            'hdlType' => 'std_logic',
11101
            'width' => 1,
11102
          },
11103
          'to_register32_data_in' => {
11104
            'attributes' => {
11105
              'bin_pt' => 0,
11106
              'is_floating_block' => 1,
11107
              'must_be_hdl_vector' => 1,
11108
              'period' => 1,
11109
              'port_id' => 0,
11110
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/data_in',
11111
              'type' => 'UFix_32_0',
11112
            },
11113
            'direction' => 'out',
11114
            'hdlType' => 'std_logic_vector(31 downto 0)',
11115
            'width' => 32,
11116
          },
11117
          'to_register32_dout' => {
11118
            'attributes' => {
11119
              'bin_pt' => 0,
11120
              'is_floating_block' => 1,
11121
              'must_be_hdl_vector' => 1,
11122
              'period' => 1,
11123
              'port_id' => 0,
11124
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/dout',
11125
              'type' => 'UFix_32_0',
11126
            },
11127
            'direction' => 'in',
11128
            'hdlType' => 'std_logic_vector(31 downto 0)',
11129
            'width' => 32,
11130
          },
11131
          'to_register32_en' => {
11132
            'attributes' => {
11133
              'bin_pt' => 0,
11134
              'is_floating_block' => 1,
11135
              'must_be_hdl_vector' => 1,
11136
              'period' => 1,
11137
              'port_id' => 1,
11138
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/en',
11139
              'type' => 'Bool',
11140
            },
11141
            'direction' => 'out',
11142
            'hdlType' => 'std_logic_vector(0 downto 0)',
11143
            'width' => 1,
11144
          },
11145
          'to_register33_ce' => {
11146
            'attributes' => {
11147
              'domain' => '',
11148
              'group' => 1,
11149
              'isCe' => 1,
11150
              'is_floating_block' => 1,
11151
              'period' => 1,
11152
              'type' => 'logic',
11153
            },
11154
            'direction' => 'out',
11155
            'hdlType' => 'std_logic',
11156
            'width' => 1,
11157
          },
11158
          'to_register33_clk' => {
11159
            'attributes' => {
11160
              'domain' => '',
11161
              'group' => 1,
11162
              'isClk' => 1,
11163
              'is_floating_block' => 1,
11164
              'period' => 1,
11165
              'type' => 'logic',
11166
            },
11167
            'direction' => 'out',
11168
            'hdlType' => 'std_logic',
11169
            'width' => 1,
11170
          },
11171
          'to_register33_clr' => {
11172
            'attributes' => {
11173
              'domain' => '',
11174
              'group' => 1,
11175
              'isClr' => 1,
11176
              'is_floating_block' => 1,
11177
              'period' => 1,
11178
              'type' => 'logic',
11179
              'valid_bit_used' => 0,
11180
            },
11181
            'direction' => 'out',
11182
            'hdlType' => 'std_logic',
11183
            'width' => 1,
11184
          },
11185
          'to_register33_data_in' => {
11186
            'attributes' => {
11187
              'bin_pt' => 0,
11188
              'is_floating_block' => 1,
11189
              'must_be_hdl_vector' => 1,
11190
              'period' => 1,
11191
              'port_id' => 0,
11192
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/data_in',
11193
              'type' => 'Bool',
11194
            },
11195
            'direction' => 'out',
11196
            'hdlType' => 'std_logic_vector(0 downto 0)',
11197
            'width' => 1,
11198
          },
11199
          'to_register33_dout' => {
11200
            'attributes' => {
11201
              'bin_pt' => 0,
11202
              'is_floating_block' => 1,
11203
              'must_be_hdl_vector' => 1,
11204
              'period' => 1,
11205
              'port_id' => 0,
11206
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/dout',
11207
              'type' => 'Bool',
11208
            },
11209
            'direction' => 'in',
11210
            'hdlType' => 'std_logic_vector(0 downto 0)',
11211
            'width' => 1,
11212
          },
11213
          'to_register33_en' => {
11214
            'attributes' => {
11215
              'bin_pt' => 0,
11216
              'is_floating_block' => 1,
11217
              'must_be_hdl_vector' => 1,
11218
              'period' => 1,
11219
              'port_id' => 1,
11220
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/en',
11221
              'type' => 'Bool',
11222
            },
11223
            'direction' => 'out',
11224
            'hdlType' => 'std_logic_vector(0 downto 0)',
11225
            'width' => 1,
11226
          },
11227
          'to_register34_ce' => {
11228
            'attributes' => {
11229
              'domain' => '',
11230
              'group' => 1,
11231
              'isCe' => 1,
11232
              'is_floating_block' => 1,
11233
              'period' => 1,
11234
              'type' => 'logic',
11235
            },
11236
            'direction' => 'out',
11237
            'hdlType' => 'std_logic',
11238
            'width' => 1,
11239
          },
11240
          'to_register34_clk' => {
11241
            'attributes' => {
11242
              'domain' => '',
11243
              'group' => 1,
11244
              'isClk' => 1,
11245
              'is_floating_block' => 1,
11246
              'period' => 1,
11247
              'type' => 'logic',
11248
            },
11249
            'direction' => 'out',
11250
            'hdlType' => 'std_logic',
11251
            'width' => 1,
11252
          },
11253
          'to_register34_clr' => {
11254
            'attributes' => {
11255
              'domain' => '',
11256
              'group' => 1,
11257
              'isClr' => 1,
11258
              'is_floating_block' => 1,
11259
              'period' => 1,
11260
              'type' => 'logic',
11261
              'valid_bit_used' => 0,
11262
            },
11263
            'direction' => 'out',
11264
            'hdlType' => 'std_logic',
11265
            'width' => 1,
11266
          },
11267
          'to_register34_data_in' => {
11268
            'attributes' => {
11269
              'bin_pt' => 0,
11270
              'is_floating_block' => 1,
11271
              'must_be_hdl_vector' => 1,
11272
              'period' => 1,
11273
              'port_id' => 0,
11274
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/data_in',
11275
              'type' => 'UFix_32_0',
11276
            },
11277
            'direction' => 'out',
11278
            'hdlType' => 'std_logic_vector(31 downto 0)',
11279
            'width' => 32,
11280
          },
11281
          'to_register34_dout' => {
11282
            'attributes' => {
11283
              'bin_pt' => 0,
11284
              'is_floating_block' => 1,
11285
              'must_be_hdl_vector' => 1,
11286
              'period' => 1,
11287
              'port_id' => 0,
11288
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/dout',
11289
              'type' => 'UFix_32_0',
11290
            },
11291
            'direction' => 'in',
11292
            'hdlType' => 'std_logic_vector(31 downto 0)',
11293
            'width' => 32,
11294
          },
11295
          'to_register34_en' => {
11296
            'attributes' => {
11297
              'bin_pt' => 0,
11298
              'is_floating_block' => 1,
11299
              'must_be_hdl_vector' => 1,
11300
              'period' => 1,
11301
              'port_id' => 1,
11302
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/en',
11303
              'type' => 'Bool',
11304
            },
11305
            'direction' => 'out',
11306
            'hdlType' => 'std_logic_vector(0 downto 0)',
11307
            'width' => 1,
11308
          },
11309
          'to_register3_ce' => {
11310
            'attributes' => {
11311
              'domain' => '',
11312
              'group' => 1,
11313
              'isCe' => 1,
11314
              'is_floating_block' => 1,
11315
              'period' => 1,
11316
              'type' => 'logic',
11317
            },
11318
            'direction' => 'out',
11319
            'hdlType' => 'std_logic',
11320
            'width' => 1,
11321
          },
11322
          'to_register3_clk' => {
11323
            'attributes' => {
11324
              'domain' => '',
11325
              'group' => 1,
11326
              'isClk' => 1,
11327
              'is_floating_block' => 1,
11328
              'period' => 1,
11329
              'type' => 'logic',
11330
            },
11331
            'direction' => 'out',
11332
            'hdlType' => 'std_logic',
11333
            'width' => 1,
11334
          },
11335
          'to_register3_clr' => {
11336
            'attributes' => {
11337
              'domain' => '',
11338
              'group' => 1,
11339
              'isClr' => 1,
11340
              'is_floating_block' => 1,
11341
              'period' => 1,
11342
              'type' => 'logic',
11343
              'valid_bit_used' => 0,
11344
            },
11345
            'direction' => 'out',
11346
            'hdlType' => 'std_logic',
11347
            'width' => 1,
11348
          },
11349
          'to_register3_data_in' => {
11350
            'attributes' => {
11351
              'bin_pt' => 0,
11352
              'is_floating_block' => 1,
11353
              'must_be_hdl_vector' => 1,
11354
              'period' => 1,
11355
              'port_id' => 0,
11356
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/data_in',
11357
              'type' => 'Bool',
11358
            },
11359
            'direction' => 'out',
11360
            'hdlType' => 'std_logic_vector(0 downto 0)',
11361
            'width' => 1,
11362
          },
11363
          'to_register3_dout' => {
11364
            'attributes' => {
11365
              'bin_pt' => 0,
11366
              'is_floating_block' => 1,
11367
              'must_be_hdl_vector' => 1,
11368
              'period' => 1,
11369
              'port_id' => 0,
11370
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/dout',
11371
              'type' => 'Bool',
11372
            },
11373
            'direction' => 'in',
11374
            'hdlType' => 'std_logic_vector(0 downto 0)',
11375
            'width' => 1,
11376
          },
11377
          'to_register3_en' => {
11378
            'attributes' => {
11379
              'bin_pt' => 0,
11380
              'is_floating_block' => 1,
11381
              'must_be_hdl_vector' => 1,
11382
              'period' => 1,
11383
              'port_id' => 1,
11384
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/en',
11385
              'type' => 'Bool',
11386
            },
11387
            'direction' => 'out',
11388
            'hdlType' => 'std_logic_vector(0 downto 0)',
11389
            'width' => 1,
11390
          },
11391
          'to_register4_ce' => {
11392
            'attributes' => {
11393
              'domain' => '',
11394
              'group' => 1,
11395
              'isCe' => 1,
11396
              'is_floating_block' => 1,
11397
              'period' => 1,
11398
              'type' => 'logic',
11399
            },
11400
            'direction' => 'out',
11401
            'hdlType' => 'std_logic',
11402
            'width' => 1,
11403
          },
11404
          'to_register4_clk' => {
11405
            'attributes' => {
11406
              'domain' => '',
11407
              'group' => 1,
11408
              'isClk' => 1,
11409
              'is_floating_block' => 1,
11410
              'period' => 1,
11411
              'type' => 'logic',
11412
            },
11413
            'direction' => 'out',
11414
            'hdlType' => 'std_logic',
11415
            'width' => 1,
11416
          },
11417
          'to_register4_clr' => {
11418
            'attributes' => {
11419
              'domain' => '',
11420
              'group' => 1,
11421
              'isClr' => 1,
11422
              'is_floating_block' => 1,
11423
              'period' => 1,
11424
              'type' => 'logic',
11425
              'valid_bit_used' => 0,
11426
            },
11427
            'direction' => 'out',
11428
            'hdlType' => 'std_logic',
11429
            'width' => 1,
11430
          },
11431
          'to_register4_data_in' => {
11432
            'attributes' => {
11433
              'bin_pt' => 0,
11434
              'is_floating_block' => 1,
11435
              'must_be_hdl_vector' => 1,
11436
              'period' => 1,
11437
              'port_id' => 0,
11438
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/data_in',
11439
              'type' => 'Bool',
11440
            },
11441
            'direction' => 'out',
11442
            'hdlType' => 'std_logic_vector(0 downto 0)',
11443
            'width' => 1,
11444
          },
11445
          'to_register4_dout' => {
11446
            'attributes' => {
11447
              'bin_pt' => 0,
11448
              'is_floating_block' => 1,
11449
              'must_be_hdl_vector' => 1,
11450
              'period' => 1,
11451
              'port_id' => 0,
11452
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/dout',
11453
              'type' => 'Bool',
11454
            },
11455
            'direction' => 'in',
11456
            'hdlType' => 'std_logic_vector(0 downto 0)',
11457
            'width' => 1,
11458
          },
11459
          'to_register4_en' => {
11460
            'attributes' => {
11461
              'bin_pt' => 0,
11462
              'is_floating_block' => 1,
11463
              'must_be_hdl_vector' => 1,
11464
              'period' => 1,
11465
              'port_id' => 1,
11466
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/en',
11467
              'type' => 'Bool',
11468
            },
11469
            'direction' => 'out',
11470
            'hdlType' => 'std_logic_vector(0 downto 0)',
11471
            'width' => 1,
11472
          },
11473
          'to_register5_ce' => {
11474
            'attributes' => {
11475
              'domain' => '',
11476
              'group' => 1,
11477
              'isCe' => 1,
11478
              'is_floating_block' => 1,
11479
              'period' => 1,
11480
              'type' => 'logic',
11481
            },
11482
            'direction' => 'out',
11483
            'hdlType' => 'std_logic',
11484
            'width' => 1,
11485
          },
11486
          'to_register5_clk' => {
11487
            'attributes' => {
11488
              'domain' => '',
11489
              'group' => 1,
11490
              'isClk' => 1,
11491
              'is_floating_block' => 1,
11492
              'period' => 1,
11493
              'type' => 'logic',
11494
            },
11495
            'direction' => 'out',
11496
            'hdlType' => 'std_logic',
11497
            'width' => 1,
11498
          },
11499
          'to_register5_clr' => {
11500
            'attributes' => {
11501
              'domain' => '',
11502
              'group' => 1,
11503
              'isClr' => 1,
11504
              'is_floating_block' => 1,
11505
              'period' => 1,
11506
              'type' => 'logic',
11507
              'valid_bit_used' => 0,
11508
            },
11509
            'direction' => 'out',
11510
            'hdlType' => 'std_logic',
11511
            'width' => 1,
11512
          },
11513
          'to_register5_data_in' => {
11514
            'attributes' => {
11515
              'bin_pt' => 0,
11516
              'is_floating_block' => 1,
11517
              'must_be_hdl_vector' => 1,
11518
              'period' => 1,
11519
              'port_id' => 0,
11520
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/data_in',
11521
              'type' => 'UFix_32_0',
11522
            },
11523
            'direction' => 'out',
11524
            'hdlType' => 'std_logic_vector(31 downto 0)',
11525
            'width' => 32,
11526
          },
11527
          'to_register5_dout' => {
11528
            'attributes' => {
11529
              'bin_pt' => 0,
11530
              'is_floating_block' => 1,
11531
              'must_be_hdl_vector' => 1,
11532
              'period' => 1,
11533
              'port_id' => 0,
11534
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/dout',
11535
              'type' => 'UFix_32_0',
11536
            },
11537
            'direction' => 'in',
11538
            'hdlType' => 'std_logic_vector(31 downto 0)',
11539
            'width' => 32,
11540
          },
11541
          'to_register5_en' => {
11542
            'attributes' => {
11543
              'bin_pt' => 0,
11544
              'is_floating_block' => 1,
11545
              'must_be_hdl_vector' => 1,
11546
              'period' => 1,
11547
              'port_id' => 1,
11548
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/en',
11549
              'type' => 'Bool',
11550
            },
11551
            'direction' => 'out',
11552
            'hdlType' => 'std_logic_vector(0 downto 0)',
11553
            'width' => 1,
11554
          },
11555
          'to_register6_ce' => {
11556
            'attributes' => {
11557
              'domain' => '',
11558
              'group' => 1,
11559
              'isCe' => 1,
11560
              'is_floating_block' => 1,
11561
              'period' => 1,
11562
              'type' => 'logic',
11563
            },
11564
            'direction' => 'out',
11565
            'hdlType' => 'std_logic',
11566
            'width' => 1,
11567
          },
11568
          'to_register6_clk' => {
11569
            'attributes' => {
11570
              'domain' => '',
11571
              'group' => 1,
11572
              'isClk' => 1,
11573
              'is_floating_block' => 1,
11574
              'period' => 1,
11575
              'type' => 'logic',
11576
            },
11577
            'direction' => 'out',
11578
            'hdlType' => 'std_logic',
11579
            'width' => 1,
11580
          },
11581
          'to_register6_clr' => {
11582
            'attributes' => {
11583
              'domain' => '',
11584
              'group' => 1,
11585
              'isClr' => 1,
11586
              'is_floating_block' => 1,
11587
              'period' => 1,
11588
              'type' => 'logic',
11589
              'valid_bit_used' => 0,
11590
            },
11591
            'direction' => 'out',
11592
            'hdlType' => 'std_logic',
11593
            'width' => 1,
11594
          },
11595
          'to_register6_data_in' => {
11596
            'attributes' => {
11597
              'bin_pt' => 0,
11598
              'is_floating_block' => 1,
11599
              'must_be_hdl_vector' => 1,
11600
              'period' => 1,
11601
              'port_id' => 0,
11602
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/data_in',
11603
              'type' => 'UFix_32_0',
11604
            },
11605
            'direction' => 'out',
11606
            'hdlType' => 'std_logic_vector(31 downto 0)',
11607
            'width' => 32,
11608
          },
11609
          'to_register6_dout' => {
11610
            'attributes' => {
11611
              'bin_pt' => 0,
11612
              'is_floating_block' => 1,
11613
              'must_be_hdl_vector' => 1,
11614
              'period' => 1,
11615
              'port_id' => 0,
11616
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/dout',
11617
              'type' => 'UFix_32_0',
11618
            },
11619
            'direction' => 'in',
11620
            'hdlType' => 'std_logic_vector(31 downto 0)',
11621
            'width' => 32,
11622
          },
11623
          'to_register6_en' => {
11624
            'attributes' => {
11625
              'bin_pt' => 0,
11626
              'is_floating_block' => 1,
11627
              'must_be_hdl_vector' => 1,
11628
              'period' => 1,
11629
              'port_id' => 1,
11630
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/en',
11631
              'type' => 'Bool',
11632
            },
11633
            'direction' => 'out',
11634
            'hdlType' => 'std_logic_vector(0 downto 0)',
11635
            'width' => 1,
11636
          },
11637
          'to_register7_ce' => {
11638
            'attributes' => {
11639
              'domain' => '',
11640
              'group' => 1,
11641
              'isCe' => 1,
11642
              'is_floating_block' => 1,
11643
              'period' => 1,
11644
              'type' => 'logic',
11645
            },
11646
            'direction' => 'out',
11647
            'hdlType' => 'std_logic',
11648
            'width' => 1,
11649
          },
11650
          'to_register7_clk' => {
11651
            'attributes' => {
11652
              'domain' => '',
11653
              'group' => 1,
11654
              'isClk' => 1,
11655
              'is_floating_block' => 1,
11656
              'period' => 1,
11657
              'type' => 'logic',
11658
            },
11659
            'direction' => 'out',
11660
            'hdlType' => 'std_logic',
11661
            'width' => 1,
11662
          },
11663
          'to_register7_clr' => {
11664
            'attributes' => {
11665
              'domain' => '',
11666
              'group' => 1,
11667
              'isClr' => 1,
11668
              'is_floating_block' => 1,
11669
              'period' => 1,
11670
              'type' => 'logic',
11671
              'valid_bit_used' => 0,
11672
            },
11673
            'direction' => 'out',
11674
            'hdlType' => 'std_logic',
11675
            'width' => 1,
11676
          },
11677
          'to_register7_data_in' => {
11678
            'attributes' => {
11679
              'bin_pt' => 0,
11680
              'is_floating_block' => 1,
11681
              'must_be_hdl_vector' => 1,
11682
              'period' => 1,
11683
              'port_id' => 0,
11684
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/data_in',
11685
              'type' => 'UFix_32_0',
11686
            },
11687
            'direction' => 'out',
11688
            'hdlType' => 'std_logic_vector(31 downto 0)',
11689
            'width' => 32,
11690
          },
11691
          'to_register7_dout' => {
11692
            'attributes' => {
11693
              'bin_pt' => 0,
11694
              'is_floating_block' => 1,
11695
              'must_be_hdl_vector' => 1,
11696
              'period' => 1,
11697
              'port_id' => 0,
11698
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/dout',
11699
              'type' => 'UFix_32_0',
11700
            },
11701
            'direction' => 'in',
11702
            'hdlType' => 'std_logic_vector(31 downto 0)',
11703
            'width' => 32,
11704
          },
11705
          'to_register7_en' => {
11706
            'attributes' => {
11707
              'bin_pt' => 0,
11708
              'is_floating_block' => 1,
11709
              'must_be_hdl_vector' => 1,
11710
              'period' => 1,
11711
              'port_id' => 1,
11712
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/en',
11713
              'type' => 'Bool',
11714
            },
11715
            'direction' => 'out',
11716
            'hdlType' => 'std_logic_vector(0 downto 0)',
11717
            'width' => 1,
11718
          },
11719
          'to_register8_ce' => {
11720
            'attributes' => {
11721
              'domain' => '',
11722
              'group' => 1,
11723
              'isCe' => 1,
11724
              'is_floating_block' => 1,
11725
              'period' => 1,
11726
              'type' => 'logic',
11727
            },
11728
            'direction' => 'out',
11729
            'hdlType' => 'std_logic',
11730
            'width' => 1,
11731
          },
11732
          'to_register8_clk' => {
11733
            'attributes' => {
11734
              'domain' => '',
11735
              'group' => 1,
11736
              'isClk' => 1,
11737
              'is_floating_block' => 1,
11738
              'period' => 1,
11739
              'type' => 'logic',
11740
            },
11741
            'direction' => 'out',
11742
            'hdlType' => 'std_logic',
11743
            'width' => 1,
11744
          },
11745
          'to_register8_clr' => {
11746
            'attributes' => {
11747
              'domain' => '',
11748
              'group' => 1,
11749
              'isClr' => 1,
11750
              'is_floating_block' => 1,
11751
              'period' => 1,
11752
              'type' => 'logic',
11753
              'valid_bit_used' => 0,
11754
            },
11755
            'direction' => 'out',
11756
            'hdlType' => 'std_logic',
11757
            'width' => 1,
11758
          },
11759
          'to_register8_data_in' => {
11760
            'attributes' => {
11761
              'bin_pt' => 0,
11762
              'is_floating_block' => 1,
11763
              'must_be_hdl_vector' => 1,
11764
              'period' => 1,
11765
              'port_id' => 0,
11766
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/data_in',
11767
              'type' => 'Bool',
11768
            },
11769
            'direction' => 'out',
11770
            'hdlType' => 'std_logic_vector(0 downto 0)',
11771
            'width' => 1,
11772
          },
11773
          'to_register8_dout' => {
11774
            'attributes' => {
11775
              'bin_pt' => 0,
11776
              'is_floating_block' => 1,
11777
              'must_be_hdl_vector' => 1,
11778
              'period' => 1,
11779
              'port_id' => 0,
11780
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/dout',
11781
              'type' => 'Bool',
11782
            },
11783
            'direction' => 'in',
11784
            'hdlType' => 'std_logic_vector(0 downto 0)',
11785
            'width' => 1,
11786
          },
11787
          'to_register8_en' => {
11788
            'attributes' => {
11789
              'bin_pt' => 0,
11790
              'is_floating_block' => 1,
11791
              'must_be_hdl_vector' => 1,
11792
              'period' => 1,
11793
              'port_id' => 1,
11794
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/en',
11795
              'type' => 'Bool',
11796
            },
11797
            'direction' => 'out',
11798
            'hdlType' => 'std_logic_vector(0 downto 0)',
11799
            'width' => 1,
11800
          },
11801
          'to_register9_ce' => {
11802
            'attributes' => {
11803
              'domain' => '',
11804
              'group' => 1,
11805
              'isCe' => 1,
11806
              'is_floating_block' => 1,
11807
              'period' => 1,
11808
              'type' => 'logic',
11809
            },
11810
            'direction' => 'out',
11811
            'hdlType' => 'std_logic',
11812
            'width' => 1,
11813
          },
11814
          'to_register9_clk' => {
11815
            'attributes' => {
11816
              'domain' => '',
11817
              'group' => 1,
11818
              'isClk' => 1,
11819
              'is_floating_block' => 1,
11820
              'period' => 1,
11821
              'type' => 'logic',
11822
            },
11823
            'direction' => 'out',
11824
            'hdlType' => 'std_logic',
11825
            'width' => 1,
11826
          },
11827
          'to_register9_clr' => {
11828
            'attributes' => {
11829
              'domain' => '',
11830
              'group' => 1,
11831
              'isClr' => 1,
11832
              'is_floating_block' => 1,
11833
              'period' => 1,
11834
              'type' => 'logic',
11835
              'valid_bit_used' => 0,
11836
            },
11837
            'direction' => 'out',
11838
            'hdlType' => 'std_logic',
11839
            'width' => 1,
11840
          },
11841
          'to_register9_data_in' => {
11842
            'attributes' => {
11843
              'bin_pt' => 0,
11844
              'is_floating_block' => 1,
11845
              'must_be_hdl_vector' => 1,
11846
              'period' => 1,
11847
              'port_id' => 0,
11848
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/data_in',
11849
              'type' => 'UFix_32_0',
11850
            },
11851
            'direction' => 'out',
11852
            'hdlType' => 'std_logic_vector(31 downto 0)',
11853
            'width' => 32,
11854
          },
11855
          'to_register9_dout' => {
11856
            'attributes' => {
11857
              'bin_pt' => 0,
11858
              'is_floating_block' => 1,
11859
              'must_be_hdl_vector' => 1,
11860
              'period' => 1,
11861
              'port_id' => 0,
11862
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/dout',
11863
              'type' => 'UFix_32_0',
11864
            },
11865
            'direction' => 'in',
11866
            'hdlType' => 'std_logic_vector(31 downto 0)',
11867
            'width' => 32,
11868
          },
11869
          'to_register9_en' => {
11870
            'attributes' => {
11871
              'bin_pt' => 0,
11872
              'is_floating_block' => 1,
11873
              'must_be_hdl_vector' => 1,
11874
              'period' => 1,
11875
              'port_id' => 1,
11876
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/en',
11877
              'type' => 'Bool',
11878
            },
11879
            'direction' => 'out',
11880
            'hdlType' => 'std_logic_vector(0 downto 0)',
11881
            'width' => 1,
11882
          },
11883
        },
11884
        'subblocks' => {
11885
          'default_clock_driver_x0' => {
11886
            'connections' => {
11887
              'ce_1' => 'ce_1_sg',
11888
              'clk_1' => 'clk_1_sg',
11889
              'sysce' => [
11890
                'constant',
11891
                '\'1\'',
11892
              ],
11893
              'sysce_clr' => [
11894
                'constant',
11895
                '\'0\'',
11896
              ],
11897
              'sysclk' => 'clkNet',
11898
            },
11899
            'entity' => {
11900
              'attributes' => {
11901
                'domain' => 'default',
11902
                'hdlArchAttributes' => [
11903
                  [
11904
                    'syn_noprune',
11905
                    'boolean',
11906
                    'true',
11907
                  ],
11908
                  [
11909
                    'optimize_primitives',
11910
                    'boolean',
11911
                    'false',
11912
                  ],
11913
                  [
11914
                    'dont_touch',
11915
                    'boolean',
11916
                    'true',
11917
                  ],
11918
                ],
11919
                'hdlEntityAttributes' => [
11920
                ],
11921
                'isClkDriver' => 1,
11922
              },
11923
              'entityName' => 'default_clock_driver',
11924
              'ports' => {
11925
                'ce_1' => {
11926
                  'attributes' => {
11927
                    'domain' => 'default',
11928
                    'group' => 1,
11929
                    'isCe' => 1,
11930
                    'period' => 1,
11931
                    'type' => 'logic',
11932
                  },
11933
                  'direction' => 'out',
11934
                  'hdlType' => 'std_logic',
11935
                  'width' => 1,
11936
                },
11937
                'clk_1' => {
11938
                  'attributes' => {
11939
                    'domain' => 'default',
11940
                    'group' => 1,
11941
                    'isClk' => 1,
11942
                    'period' => 1,
11943
                    'type' => 'logic',
11944
                  },
11945
                  'direction' => 'out',
11946
                  'hdlType' => 'std_logic',
11947
                  'width' => 1,
11948
                },
11949
                'sysce' => {
11950
                  'attributes' => {
11951
                    'group' => 4,
11952
                    'isCe' => 1,
11953
                    'period' => 1,
11954
                  },
11955
                  'direction' => 'in',
11956
                  'hdlType' => 'std_logic',
11957
                  'width' => 1,
11958
                },
11959
                'sysce_clr' => {
11960
                  'attributes' => {
11961
                    'group' => 4,
11962
                    'isClr' => 1,
11963
                    'period' => 1,
11964
                  },
11965
                  'direction' => 'in',
11966
                  'hdlType' => 'std_logic',
11967
                  'width' => 1,
11968
                },
11969
                'sysclk' => {
11970
                  'attributes' => {
11971
                    'group' => 4,
11972
                    'isClk' => 1,
11973
                    'period' => 1,
11974
                  },
11975
                  'direction' => 'in',
11976
                  'hdlType' => 'std_logic',
11977
                  'width' => 1,
11978
                },
11979
              },
11980
            },
11981
            'entityName' => 'default_clock_driver',
11982
          },
11983
          'inout_logic_x0' => {
11984
            'connections' => {
11985
              'data_in' => 'debug_in_2i_net_x0',
11986
              'data_in_x0' => 'reg04_tv_net_x0',
11987
              'data_in_x1' => 'reg04_td_net_x0',
11988
              'data_in_x10' => 'debug_in_3i_net_x0',
11989
              'data_in_x11' => 'debug_in_4i_net_x0',
11990
              'data_in_x12' => 'reg09_tv_net_x0',
11991
              'data_in_x13' => 'reg09_td_net_x0',
11992
              'data_in_x14' => 'reg10_tv_net_x0',
11993
              'data_in_x15' => 'reg10_td_net_x0',
11994
              'data_in_x16' => 'reg08_tv_net_x0',
11995
              'data_in_x17' => 'reg08_td_net_x0',
11996
              'data_in_x18' => 'reg11_tv_net_x0',
11997
              'data_in_x19' => 'reg11_td_net_x0',
11998
              'data_in_x2' => 'reg05_tv_net_x0',
11999
              'data_in_x20' => 'reg12_tv_net_x0',
12000
              'data_in_x21' => 'reg01_tv_net_x0',
12001
              'data_in_x22' => 'reg12_td_net_x0',
12002
              'data_in_x23' => 'reg13_tv_net_x0',
12003
              'data_in_x24' => 'reg13_td_net_x0',
12004
              'data_in_x25' => 'reg14_tv_net_x0',
12005
              'data_in_x26' => 'reg14_td_net_x0',
12006
              'data_in_x27' => 'reg02_tv_net_x0',
12007
              'data_in_x28' => 'reg02_td_net_x0',
12008
              'data_in_x29' => 'debug_in_1i_net_x0',
12009
              'data_in_x3' => 'reg05_td_net_x0',
12010
              'data_in_x30' => 'reg01_td_net_x0',
12011
              'data_in_x31' => 'reg03_tv_net_x0',
12012
              'data_in_x32' => 'reg03_td_net_x0',
12013
              'data_in_x4' => 'reg06_tv_net_x0',
12014
              'data_in_x5' => 'reg06_td_net_x0',
12015
              'data_in_x6' => 'reg07_tv_net_x0',
12016
              'data_in_x7' => 'reg07_td_net_x0',
12017
              'data_in_x8' => 'dma_host2board_busy_net_x0',
12018
              'data_in_x9' => 'dma_host2board_done_net_x0',
12019
              'data_out' => 'from_register1_data_out_net',
12020
              'data_out_x0' => 'from_register10_data_out_net',
12021
              'data_out_x1' => 'from_register11_data_out_net',
12022
              'data_out_x10' => 'from_register2_data_out_net',
12023
              'data_out_x11' => 'from_register20_data_out_net',
12024
              'data_out_x12' => 'from_register21_data_out_net',
12025
              'data_out_x13' => 'from_register22_data_out_net',
12026
              'data_out_x14' => 'from_register23_data_out_net',
12027
              'data_out_x15' => 'from_register24_data_out_net',
12028
              'data_out_x16' => 'from_register25_data_out_net',
12029
              'data_out_x17' => 'from_register26_data_out_net',
12030
              'data_out_x18' => 'from_register27_data_out_net',
12031
              'data_out_x19' => 'from_register28_data_out_net',
12032
              'data_out_x2' => 'from_register12_data_out_net',
12033
              'data_out_x20' => 'from_register3_data_out_net',
12034
              'data_out_x21' => 'from_register4_data_out_net',
12035
              'data_out_x22' => 'from_register5_data_out_net',
12036
              'data_out_x23' => 'from_register6_data_out_net',
12037
              'data_out_x24' => 'from_register7_data_out_net',
12038
              'data_out_x25' => 'from_register8_data_out_net',
12039
              'data_out_x26' => 'from_register9_data_out_net',
12040
              'data_out_x3' => 'from_register13_data_out_net',
12041
              'data_out_x4' => 'from_register14_data_out_net',
12042
              'data_out_x5' => 'from_register15_data_out_net',
12043
              'data_out_x6' => 'from_register16_data_out_net',
12044
              'data_out_x7' => 'from_register17_data_out_net',
12045
              'data_out_x8' => 'from_register18_data_out_net',
12046
              'data_out_x9' => 'from_register19_data_out_net',
12047
              'debug_in_1i' => 'debug_in_1i_net',
12048
              'debug_in_2i' => 'debug_in_2i_net',
12049
              'debug_in_3i' => 'debug_in_3i_net',
12050
              'debug_in_4i' => 'debug_in_4i_net',
12051
              'dma_host2board_busy' => 'dma_host2board_busy_net',
12052
              'dma_host2board_done' => 'dma_host2board_done_net',
12053
              'en' => 'constant5_op_net_x0',
12054
              'en_x0' => 'constant5_op_net_x1',
12055
              'en_x1' => 'constant5_op_net_x2',
12056
              'en_x10' => 'constant5_op_net_x11',
12057
              'en_x11' => 'constant5_op_net_x12',
12058
              'en_x12' => 'constant1_op_net_x0',
12059
              'en_x13' => 'constant1_op_net_x1',
12060
              'en_x14' => 'constant1_op_net_x2',
12061
              'en_x15' => 'constant1_op_net_x3',
12062
              'en_x16' => 'constant1_op_net_x4',
12063
              'en_x17' => 'constant1_op_net_x5',
12064
              'en_x18' => 'constant1_op_net_x6',
12065
              'en_x19' => 'constant1_op_net_x7',
12066
              'en_x2' => 'constant5_op_net_x3',
12067
              'en_x20' => 'constant1_op_net_x8',
12068
              'en_x21' => 'constant5_op_net_x13',
12069
              'en_x22' => 'constant1_op_net_x9',
12070
              'en_x23' => 'constant1_op_net_x10',
12071
              'en_x24' => 'constant1_op_net_x11',
12072
              'en_x25' => 'constant1_op_net_x12',
12073
              'en_x26' => 'constant1_op_net_x13',
12074
              'en_x27' => 'constant5_op_net_x14',
12075
              'en_x28' => 'constant5_op_net_x15',
12076
              'en_x29' => 'constant5_op_net_x16',
12077
              'en_x3' => 'constant5_op_net_x4',
12078
              'en_x30' => 'constant5_op_net_x17',
12079
              'en_x31' => 'constant5_op_net_x18',
12080
              'en_x32' => 'constant5_op_net_x19',
12081
              'en_x4' => 'constant5_op_net_x5',
12082
              'en_x5' => 'constant5_op_net_x6',
12083
              'en_x6' => 'constant5_op_net_x7',
12084
              'en_x7' => 'constant5_op_net_x8',
12085
              'en_x8' => 'constant5_op_net_x9',
12086
              'en_x9' => 'constant5_op_net_x10',
12087
              'reg01_rd' => 'from_register3_data_out_net_x0',
12088
              'reg01_rv' => 'from_register1_data_out_net_x0',
12089
              'reg01_td' => 'reg01_td_net',
12090
              'reg01_tv' => 'reg01_tv_net',
12091
              'reg02_rd' => 'from_register5_data_out_net_x0',
12092
              'reg02_rv' => 'from_register2_data_out_net_x0',
12093
              'reg02_td' => 'reg02_td_net',
12094
              'reg02_tv' => 'reg02_tv_net',
12095
              'reg03_rd' => 'from_register7_data_out_net_x0',
12096
              'reg03_rv' => 'from_register6_data_out_net_x0',
12097
              'reg03_td' => 'reg03_td_net',
12098
              'reg03_tv' => 'reg03_tv_net',
12099
              'reg04_rd' => 'from_register8_data_out_net_x0',
12100
              'reg04_rv' => 'from_register4_data_out_net_x0',
12101
              'reg04_td' => 'reg04_td_net',
12102
              'reg04_tv' => 'reg04_tv_net',
12103
              'reg05_rd' => 'from_register10_data_out_net_x0',
12104
              'reg05_rv' => 'from_register9_data_out_net_x0',
12105
              'reg05_td' => 'reg05_td_net',
12106
              'reg05_tv' => 'reg05_tv_net',
12107
              'reg06_rd' => 'from_register11_data_out_net_x0',
12108
              'reg06_rv' => 'from_register12_data_out_net_x0',
12109
              'reg06_td' => 'reg06_td_net',
12110
              'reg06_tv' => 'reg06_tv_net',
12111
              'reg07_rd' => 'from_register13_data_out_net_x0',
12112
              'reg07_rv' => 'from_register14_data_out_net_x0',
12113
              'reg07_td' => 'reg07_td_net',
12114
              'reg07_tv' => 'reg07_tv_net',
12115
              'reg08_rd' => 'from_register15_data_out_net_x0',
12116
              'reg08_rv' => 'from_register16_data_out_net_x0',
12117
              'reg08_td' => 'reg08_td_net',
12118
              'reg08_tv' => 'reg08_tv_net',
12119
              'reg09_rd' => 'from_register17_data_out_net_x0',
12120
              'reg09_rv' => 'from_register18_data_out_net_x0',
12121
              'reg09_td' => 'reg09_td_net',
12122
              'reg09_tv' => 'reg09_tv_net',
12123
              'reg10_rd' => 'from_register19_data_out_net_x0',
12124
              'reg10_rv' => 'from_register20_data_out_net_x0',
12125
              'reg10_td' => 'reg10_td_net',
12126
              'reg10_tv' => 'reg10_tv_net',
12127
              'reg11_rd' => 'from_register21_data_out_net_x0',
12128
              'reg11_rv' => 'from_register22_data_out_net_x0',
12129
              'reg11_td' => 'reg11_td_net',
12130
              'reg11_tv' => 'reg11_tv_net',
12131
              'reg12_rd' => 'from_register23_data_out_net_x0',
12132
              'reg12_rv' => 'from_register24_data_out_net_x0',
12133
              'reg12_td' => 'reg12_td_net',
12134
              'reg12_tv' => 'reg12_tv_net',
12135
              'reg13_rd' => 'from_register25_data_out_net_x0',
12136
              'reg13_rv' => 'from_register26_data_out_net_x0',
12137
              'reg13_td' => 'reg13_td_net',
12138
              'reg13_tv' => 'reg13_tv_net',
12139
              'reg14_rd' => 'from_register27_data_out_net_x0',
12140
              'reg14_rv' => 'from_register28_data_out_net_x0',
12141
              'reg14_td' => 'reg14_td_net',
12142
              'reg14_tv' => 'reg14_tv_net',
12143
            },
12144
            'entity' => {
12145
              'attributes' => {
12146
                'entityAlreadyNetlisted' => 1,
12147
                'hdlKind' => 'vhdl',
12148
                'isDesign' => 1,
12149
                'simulinkName' => 'INOUT_LOGIC',
12150
              },
12151
              'entityName' => 'inout_logic',
12152
              'ports' => {
12153
                'data_in' => {
12154
                  'attributes' => {
12155
                    'bin_pt' => 0,
12156
                    'is_floating_block' => 1,
12157
                    'must_be_hdl_vector' => 1,
12158
                    'period' => 1,
12159
                    'port_id' => 0,
12160
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12161
                    'type' => 'UFix_32_0',
12162
                  },
12163
                  'direction' => 'out',
12164
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12165
                  'width' => 32,
12166
                },
12167
                'data_in_x0' => {
12168
                  'attributes' => {
12169
                    'bin_pt' => 0,
12170
                    'is_floating_block' => 1,
12171
                    'must_be_hdl_vector' => 1,
12172
                    'period' => 1,
12173
                    'port_id' => 0,
12174
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12175
                    'type' => 'Bool',
12176
                  },
12177
                  'direction' => 'out',
12178
                  'hdlType' => 'std_logic',
12179
                  'width' => 1,
12180
                },
12181
                'data_in_x1' => {
12182
                  'attributes' => {
12183
                    'bin_pt' => 0,
12184
                    'is_floating_block' => 1,
12185
                    'must_be_hdl_vector' => 1,
12186
                    'period' => 1,
12187
                    'port_id' => 0,
12188
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12189
                    'type' => 'UFix_32_0',
12190
                  },
12191
                  'direction' => 'out',
12192
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12193
                  'width' => 32,
12194
                },
12195
                'data_in_x10' => {
12196
                  'attributes' => {
12197
                    'bin_pt' => 0,
12198
                    'is_floating_block' => 1,
12199
                    'must_be_hdl_vector' => 1,
12200
                    'period' => 1,
12201
                    'port_id' => 0,
12202
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12203
                    'type' => 'UFix_32_0',
12204
                  },
12205
                  'direction' => 'out',
12206
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12207
                  'width' => 32,
12208
                },
12209
                'data_in_x11' => {
12210
                  'attributes' => {
12211
                    'bin_pt' => 0,
12212
                    'is_floating_block' => 1,
12213
                    'must_be_hdl_vector' => 1,
12214
                    'period' => 1,
12215
                    'port_id' => 0,
12216
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12217
                    'type' => 'UFix_32_0',
12218
                  },
12219
                  'direction' => 'out',
12220
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12221
                  'width' => 32,
12222
                },
12223
                'data_in_x12' => {
12224
                  'attributes' => {
12225
                    'bin_pt' => 0,
12226
                    'is_floating_block' => 1,
12227
                    'must_be_hdl_vector' => 1,
12228
                    'period' => 1,
12229
                    'port_id' => 0,
12230
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12231
                    'type' => 'Bool',
12232
                  },
12233
                  'direction' => 'out',
12234
                  'hdlType' => 'std_logic',
12235
                  'width' => 1,
12236
                },
12237
                'data_in_x13' => {
12238
                  'attributes' => {
12239
                    'bin_pt' => 0,
12240
                    'is_floating_block' => 1,
12241
                    'must_be_hdl_vector' => 1,
12242
                    'period' => 1,
12243
                    'port_id' => 0,
12244
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12245
                    'type' => 'UFix_32_0',
12246
                  },
12247
                  'direction' => 'out',
12248
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12249
                  'width' => 32,
12250
                },
12251
                'data_in_x14' => {
12252
                  'attributes' => {
12253
                    'bin_pt' => 0,
12254
                    'is_floating_block' => 1,
12255
                    'must_be_hdl_vector' => 1,
12256
                    'period' => 1,
12257
                    'port_id' => 0,
12258
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12259
                    'type' => 'Bool',
12260
                  },
12261
                  'direction' => 'out',
12262
                  'hdlType' => 'std_logic',
12263
                  'width' => 1,
12264
                },
12265
                'data_in_x15' => {
12266
                  'attributes' => {
12267
                    'bin_pt' => 0,
12268
                    'is_floating_block' => 1,
12269
                    'must_be_hdl_vector' => 1,
12270
                    'period' => 1,
12271
                    'port_id' => 0,
12272
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12273
                    'type' => 'UFix_32_0',
12274
                  },
12275
                  'direction' => 'out',
12276
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12277
                  'width' => 32,
12278
                },
12279
                'data_in_x16' => {
12280
                  'attributes' => {
12281
                    'bin_pt' => 0,
12282
                    'is_floating_block' => 1,
12283
                    'must_be_hdl_vector' => 1,
12284
                    'period' => 1,
12285
                    'port_id' => 0,
12286
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12287
                    'type' => 'Bool',
12288
                  },
12289
                  'direction' => 'out',
12290
                  'hdlType' => 'std_logic',
12291
                  'width' => 1,
12292
                },
12293
                'data_in_x17' => {
12294
                  'attributes' => {
12295
                    'bin_pt' => 0,
12296
                    'is_floating_block' => 1,
12297
                    'must_be_hdl_vector' => 1,
12298
                    'period' => 1,
12299
                    'port_id' => 0,
12300
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12301
                    'type' => 'UFix_32_0',
12302
                  },
12303
                  'direction' => 'out',
12304
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12305
                  'width' => 32,
12306
                },
12307
                'data_in_x18' => {
12308
                  'attributes' => {
12309
                    'bin_pt' => 0,
12310
                    'is_floating_block' => 1,
12311
                    'must_be_hdl_vector' => 1,
12312
                    'period' => 1,
12313
                    'port_id' => 0,
12314
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12315
                    'type' => 'Bool',
12316
                  },
12317
                  'direction' => 'out',
12318
                  'hdlType' => 'std_logic',
12319
                  'width' => 1,
12320
                },
12321
                'data_in_x19' => {
12322
                  'attributes' => {
12323
                    'bin_pt' => 0,
12324
                    'is_floating_block' => 1,
12325
                    'must_be_hdl_vector' => 1,
12326
                    'period' => 1,
12327
                    'port_id' => 0,
12328
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12329
                    'type' => 'UFix_32_0',
12330
                  },
12331
                  'direction' => 'out',
12332
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12333
                  'width' => 32,
12334
                },
12335
                'data_in_x2' => {
12336
                  'attributes' => {
12337
                    'bin_pt' => 0,
12338
                    'is_floating_block' => 1,
12339
                    'must_be_hdl_vector' => 1,
12340
                    'period' => 1,
12341
                    'port_id' => 0,
12342
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12343
                    'type' => 'Bool',
12344
                  },
12345
                  'direction' => 'out',
12346
                  'hdlType' => 'std_logic',
12347
                  'width' => 1,
12348
                },
12349
                'data_in_x20' => {
12350
                  'attributes' => {
12351
                    'bin_pt' => 0,
12352
                    'is_floating_block' => 1,
12353
                    'must_be_hdl_vector' => 1,
12354
                    'period' => 1,
12355
                    'port_id' => 0,
12356
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12357
                    'type' => 'Bool',
12358
                  },
12359
                  'direction' => 'out',
12360
                  'hdlType' => 'std_logic',
12361
                  'width' => 1,
12362
                },
12363
                'data_in_x21' => {
12364
                  'attributes' => {
12365
                    'bin_pt' => 0,
12366
                    'is_floating_block' => 1,
12367
                    'must_be_hdl_vector' => 1,
12368
                    'period' => 1,
12369
                    'port_id' => 0,
12370
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12371
                    'type' => 'Bool',
12372
                  },
12373
                  'direction' => 'out',
12374
                  'hdlType' => 'std_logic',
12375
                  'width' => 1,
12376
                },
12377
                'data_in_x22' => {
12378
                  'attributes' => {
12379
                    'bin_pt' => 0,
12380
                    'is_floating_block' => 1,
12381
                    'must_be_hdl_vector' => 1,
12382
                    'period' => 1,
12383
                    'port_id' => 0,
12384
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12385
                    'type' => 'UFix_32_0',
12386
                  },
12387
                  'direction' => 'out',
12388
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12389
                  'width' => 32,
12390
                },
12391
                'data_in_x23' => {
12392
                  'attributes' => {
12393
                    'bin_pt' => 0,
12394
                    'is_floating_block' => 1,
12395
                    'must_be_hdl_vector' => 1,
12396
                    'period' => 1,
12397
                    'port_id' => 0,
12398
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12399
                    'type' => 'Bool',
12400
                  },
12401
                  'direction' => 'out',
12402
                  'hdlType' => 'std_logic',
12403
                  'width' => 1,
12404
                },
12405
                'data_in_x24' => {
12406
                  'attributes' => {
12407
                    'bin_pt' => 0,
12408
                    'is_floating_block' => 1,
12409
                    'must_be_hdl_vector' => 1,
12410
                    'period' => 1,
12411
                    'port_id' => 0,
12412
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12413
                    'type' => 'UFix_32_0',
12414
                  },
12415
                  'direction' => 'out',
12416
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12417
                  'width' => 32,
12418
                },
12419
                'data_in_x25' => {
12420
                  'attributes' => {
12421
                    'bin_pt' => 0,
12422
                    'is_floating_block' => 1,
12423
                    'must_be_hdl_vector' => 1,
12424
                    'period' => 1,
12425
                    'port_id' => 0,
12426
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12427
                    'type' => 'Bool',
12428
                  },
12429
                  'direction' => 'out',
12430
                  'hdlType' => 'std_logic',
12431
                  'width' => 1,
12432
                },
12433
                'data_in_x26' => {
12434
                  'attributes' => {
12435
                    'bin_pt' => 0,
12436
                    'is_floating_block' => 1,
12437
                    'must_be_hdl_vector' => 1,
12438
                    'period' => 1,
12439
                    'port_id' => 0,
12440
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12441
                    'type' => 'UFix_32_0',
12442
                  },
12443
                  'direction' => 'out',
12444
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12445
                  'width' => 32,
12446
                },
12447
                'data_in_x27' => {
12448
                  'attributes' => {
12449
                    'bin_pt' => 0,
12450
                    'is_floating_block' => 1,
12451
                    'must_be_hdl_vector' => 1,
12452
                    'period' => 1,
12453
                    'port_id' => 0,
12454
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12455
                    'type' => 'Bool',
12456
                  },
12457
                  'direction' => 'out',
12458
                  'hdlType' => 'std_logic',
12459
                  'width' => 1,
12460
                },
12461
                'data_in_x28' => {
12462
                  'attributes' => {
12463
                    'bin_pt' => 0,
12464
                    'is_floating_block' => 1,
12465
                    'must_be_hdl_vector' => 1,
12466
                    'period' => 1,
12467
                    'port_id' => 0,
12468
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12469
                    'type' => 'UFix_32_0',
12470
                  },
12471
                  'direction' => 'out',
12472
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12473
                  'width' => 32,
12474
                },
12475
                'data_in_x29' => {
12476
                  'attributes' => {
12477
                    'bin_pt' => 0,
12478
                    'is_floating_block' => 1,
12479
                    'must_be_hdl_vector' => 1,
12480
                    'period' => 1,
12481
                    'port_id' => 0,
12482
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12483
                    'type' => 'UFix_32_0',
12484
                  },
12485
                  'direction' => 'out',
12486
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12487
                  'width' => 32,
12488
                },
12489
                'data_in_x3' => {
12490
                  'attributes' => {
12491
                    'bin_pt' => 0,
12492
                    'is_floating_block' => 1,
12493
                    'must_be_hdl_vector' => 1,
12494
                    'period' => 1,
12495
                    'port_id' => 0,
12496
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12497
                    'type' => 'UFix_32_0',
12498
                  },
12499
                  'direction' => 'out',
12500
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12501
                  'width' => 32,
12502
                },
12503
                'data_in_x30' => {
12504
                  'attributes' => {
12505
                    'bin_pt' => 0,
12506
                    'is_floating_block' => 1,
12507
                    'must_be_hdl_vector' => 1,
12508
                    'period' => 1,
12509
                    'port_id' => 0,
12510
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12511
                    'type' => 'UFix_32_0',
12512
                  },
12513
                  'direction' => 'out',
12514
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12515
                  'width' => 32,
12516
                },
12517
                'data_in_x31' => {
12518
                  'attributes' => {
12519
                    'bin_pt' => 0,
12520
                    'is_floating_block' => 1,
12521
                    'must_be_hdl_vector' => 1,
12522
                    'period' => 1,
12523
                    'port_id' => 0,
12524
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12525
                    'type' => 'Bool',
12526
                  },
12527
                  'direction' => 'out',
12528
                  'hdlType' => 'std_logic',
12529
                  'width' => 1,
12530
                },
12531
                'data_in_x32' => {
12532
                  'attributes' => {
12533
                    'bin_pt' => 0,
12534
                    'is_floating_block' => 1,
12535
                    'must_be_hdl_vector' => 1,
12536
                    'period' => 1,
12537
                    'port_id' => 0,
12538
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12539
                    'type' => 'UFix_32_0',
12540
                  },
12541
                  'direction' => 'out',
12542
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12543
                  'width' => 32,
12544
                },
12545
                'data_in_x4' => {
12546
                  'attributes' => {
12547
                    'bin_pt' => 0,
12548
                    'is_floating_block' => 1,
12549
                    'must_be_hdl_vector' => 1,
12550
                    'period' => 1,
12551
                    'port_id' => 0,
12552
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12553
                    'type' => 'Bool',
12554
                  },
12555
                  'direction' => 'out',
12556
                  'hdlType' => 'std_logic',
12557
                  'width' => 1,
12558
                },
12559
                'data_in_x5' => {
12560
                  'attributes' => {
12561
                    'bin_pt' => 0,
12562
                    'is_floating_block' => 1,
12563
                    'must_be_hdl_vector' => 1,
12564
                    'period' => 1,
12565
                    'port_id' => 0,
12566
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12567
                    'type' => 'UFix_32_0',
12568
                  },
12569
                  'direction' => 'out',
12570
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12571
                  'width' => 32,
12572
                },
12573
                'data_in_x6' => {
12574
                  'attributes' => {
12575
                    'bin_pt' => 0,
12576
                    'is_floating_block' => 1,
12577
                    'must_be_hdl_vector' => 1,
12578
                    'period' => 1,
12579
                    'port_id' => 0,
12580
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12581
                    'type' => 'Bool',
12582
                  },
12583
                  'direction' => 'out',
12584
                  'hdlType' => 'std_logic',
12585
                  'width' => 1,
12586
                },
12587
                'data_in_x7' => {
12588
                  'attributes' => {
12589
                    'bin_pt' => 0,
12590
                    'is_floating_block' => 1,
12591
                    'must_be_hdl_vector' => 1,
12592
                    'period' => 1,
12593
                    'port_id' => 0,
12594
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12595
                    'type' => 'UFix_32_0',
12596
                  },
12597
                  'direction' => 'out',
12598
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12599
                  'width' => 32,
12600
                },
12601
                'data_in_x8' => {
12602
                  'attributes' => {
12603
                    'bin_pt' => 0,
12604
                    'is_floating_block' => 1,
12605
                    'must_be_hdl_vector' => 1,
12606
                    'period' => 1,
12607
                    'port_id' => 0,
12608
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12609
                    'type' => 'UFix_1_0',
12610
                  },
12611
                  'direction' => 'out',
12612
                  'hdlType' => 'std_logic',
12613
                  'width' => 1,
12614
                },
12615
                'data_in_x9' => {
12616
                  'attributes' => {
12617
                    'bin_pt' => 0,
12618
                    'is_floating_block' => 1,
12619
                    'must_be_hdl_vector' => 1,
12620
                    'period' => 1,
12621
                    'port_id' => 0,
12622
                    'simulinkName' => 'INOUT_LOGIC/data_in',
12623
                    'type' => 'UFix_1_0',
12624
                  },
12625
                  'direction' => 'out',
12626
                  'hdlType' => 'std_logic',
12627
                  'width' => 1,
12628
                },
12629
                'data_out' => {
12630
                  'attributes' => {
12631
                    'bin_pt' => 0,
12632
                    'is_floating_block' => 1,
12633
                    'must_be_hdl_vector' => 1,
12634
                    'period' => 1,
12635
                    'port_id' => 0,
12636
                    'simulinkName' => 'INOUT_LOGIC/From Register1',
12637
                    'type' => 'UFix_1_0',
12638
                  },
12639
                  'direction' => 'in',
12640
                  'hdlType' => 'std_logic',
12641
                  'width' => 1,
12642
                },
12643
                'data_out_x0' => {
12644
                  'attributes' => {
12645
                    'bin_pt' => 0,
12646
                    'is_floating_block' => 1,
12647
                    'must_be_hdl_vector' => 1,
12648
                    'period' => 1,
12649
                    'port_id' => 0,
12650
                    'simulinkName' => 'INOUT_LOGIC/From Register10',
12651
                    'type' => 'UFix_32_0',
12652
                  },
12653
                  'direction' => 'in',
12654
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12655
                  'width' => 32,
12656
                },
12657
                'data_out_x1' => {
12658
                  'attributes' => {
12659
                    'bin_pt' => 0,
12660
                    'is_floating_block' => 1,
12661
                    'must_be_hdl_vector' => 1,
12662
                    'period' => 1,
12663
                    'port_id' => 0,
12664
                    'simulinkName' => 'INOUT_LOGIC/From Register11',
12665
                    'type' => 'UFix_32_0',
12666
                  },
12667
                  'direction' => 'in',
12668
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12669
                  'width' => 32,
12670
                },
12671
                'data_out_x10' => {
12672
                  'attributes' => {
12673
                    'bin_pt' => 0,
12674
                    'is_floating_block' => 1,
12675
                    'must_be_hdl_vector' => 1,
12676
                    'period' => 1,
12677
                    'port_id' => 0,
12678
                    'simulinkName' => 'INOUT_LOGIC/From Register2',
12679
                    'type' => 'UFix_1_0',
12680
                  },
12681
                  'direction' => 'in',
12682
                  'hdlType' => 'std_logic',
12683
                  'width' => 1,
12684
                },
12685
                'data_out_x11' => {
12686
                  'attributes' => {
12687
                    'bin_pt' => 0,
12688
                    'is_floating_block' => 1,
12689
                    'must_be_hdl_vector' => 1,
12690
                    'period' => 1,
12691
                    'port_id' => 0,
12692
                    'simulinkName' => 'INOUT_LOGIC/From Register20',
12693
                    'type' => 'UFix_1_0',
12694
                  },
12695
                  'direction' => 'in',
12696
                  'hdlType' => 'std_logic',
12697
                  'width' => 1,
12698
                },
12699
                'data_out_x12' => {
12700
                  'attributes' => {
12701
                    'bin_pt' => 0,
12702
                    'is_floating_block' => 1,
12703
                    'must_be_hdl_vector' => 1,
12704
                    'period' => 1,
12705
                    'port_id' => 0,
12706
                    'simulinkName' => 'INOUT_LOGIC/From Register21',
12707
                    'type' => 'UFix_32_0',
12708
                  },
12709
                  'direction' => 'in',
12710
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12711
                  'width' => 32,
12712
                },
12713
                'data_out_x13' => {
12714
                  'attributes' => {
12715
                    'bin_pt' => 0,
12716
                    'is_floating_block' => 1,
12717
                    'must_be_hdl_vector' => 1,
12718
                    'period' => 1,
12719
                    'port_id' => 0,
12720
                    'simulinkName' => 'INOUT_LOGIC/From Register22',
12721
                    'type' => 'UFix_1_0',
12722
                  },
12723
                  'direction' => 'in',
12724
                  'hdlType' => 'std_logic',
12725
                  'width' => 1,
12726
                },
12727
                'data_out_x14' => {
12728
                  'attributes' => {
12729
                    'bin_pt' => 0,
12730
                    'is_floating_block' => 1,
12731
                    'must_be_hdl_vector' => 1,
12732
                    'period' => 1,
12733
                    'port_id' => 0,
12734
                    'simulinkName' => 'INOUT_LOGIC/From Register23',
12735
                    'type' => 'UFix_32_0',
12736
                  },
12737
                  'direction' => 'in',
12738
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12739
                  'width' => 32,
12740
                },
12741
                'data_out_x15' => {
12742
                  'attributes' => {
12743
                    'bin_pt' => 0,
12744
                    'is_floating_block' => 1,
12745
                    'must_be_hdl_vector' => 1,
12746
                    'period' => 1,
12747
                    'port_id' => 0,
12748
                    'simulinkName' => 'INOUT_LOGIC/From Register24',
12749
                    'type' => 'UFix_1_0',
12750
                  },
12751
                  'direction' => 'in',
12752
                  'hdlType' => 'std_logic',
12753
                  'width' => 1,
12754
                },
12755
                'data_out_x16' => {
12756
                  'attributes' => {
12757
                    'bin_pt' => 0,
12758
                    'is_floating_block' => 1,
12759
                    'must_be_hdl_vector' => 1,
12760
                    'period' => 1,
12761
                    'port_id' => 0,
12762
                    'simulinkName' => 'INOUT_LOGIC/From Register25',
12763
                    'type' => 'UFix_32_0',
12764
                  },
12765
                  'direction' => 'in',
12766
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12767
                  'width' => 32,
12768
                },
12769
                'data_out_x17' => {
12770
                  'attributes' => {
12771
                    'bin_pt' => 0,
12772
                    'is_floating_block' => 1,
12773
                    'must_be_hdl_vector' => 1,
12774
                    'period' => 1,
12775
                    'port_id' => 0,
12776
                    'simulinkName' => 'INOUT_LOGIC/From Register26',
12777
                    'type' => 'UFix_1_0',
12778
                  },
12779
                  'direction' => 'in',
12780
                  'hdlType' => 'std_logic',
12781
                  'width' => 1,
12782
                },
12783
                'data_out_x18' => {
12784
                  'attributes' => {
12785
                    'bin_pt' => 0,
12786
                    'is_floating_block' => 1,
12787
                    'must_be_hdl_vector' => 1,
12788
                    'period' => 1,
12789
                    'port_id' => 0,
12790
                    'simulinkName' => 'INOUT_LOGIC/From Register27',
12791
                    'type' => 'UFix_32_0',
12792
                  },
12793
                  'direction' => 'in',
12794
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12795
                  'width' => 32,
12796
                },
12797
                'data_out_x19' => {
12798
                  'attributes' => {
12799
                    'bin_pt' => 0,
12800
                    'is_floating_block' => 1,
12801
                    'must_be_hdl_vector' => 1,
12802
                    'period' => 1,
12803
                    'port_id' => 0,
12804
                    'simulinkName' => 'INOUT_LOGIC/From Register28',
12805
                    'type' => 'UFix_1_0',
12806
                  },
12807
                  'direction' => 'in',
12808
                  'hdlType' => 'std_logic',
12809
                  'width' => 1,
12810
                },
12811
                'data_out_x2' => {
12812
                  'attributes' => {
12813
                    'bin_pt' => 0,
12814
                    'is_floating_block' => 1,
12815
                    'must_be_hdl_vector' => 1,
12816
                    'period' => 1,
12817
                    'port_id' => 0,
12818
                    'simulinkName' => 'INOUT_LOGIC/From Register12',
12819
                    'type' => 'UFix_1_0',
12820
                  },
12821
                  'direction' => 'in',
12822
                  'hdlType' => 'std_logic',
12823
                  'width' => 1,
12824
                },
12825
                'data_out_x20' => {
12826
                  'attributes' => {
12827
                    'bin_pt' => 0,
12828
                    'is_floating_block' => 1,
12829
                    'must_be_hdl_vector' => 1,
12830
                    'period' => 1,
12831
                    'port_id' => 0,
12832
                    'simulinkName' => 'INOUT_LOGIC/From Register3',
12833
                    'type' => 'UFix_32_0',
12834
                  },
12835
                  'direction' => 'in',
12836
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12837
                  'width' => 32,
12838
                },
12839
                'data_out_x21' => {
12840
                  'attributes' => {
12841
                    'bin_pt' => 0,
12842
                    'is_floating_block' => 1,
12843
                    'must_be_hdl_vector' => 1,
12844
                    'period' => 1,
12845
                    'port_id' => 0,
12846
                    'simulinkName' => 'INOUT_LOGIC/From Register4',
12847
                    'type' => 'UFix_1_0',
12848
                  },
12849
                  'direction' => 'in',
12850
                  'hdlType' => 'std_logic',
12851
                  'width' => 1,
12852
                },
12853
                'data_out_x22' => {
12854
                  'attributes' => {
12855
                    'bin_pt' => 0,
12856
                    'is_floating_block' => 1,
12857
                    'must_be_hdl_vector' => 1,
12858
                    'period' => 1,
12859
                    'port_id' => 0,
12860
                    'simulinkName' => 'INOUT_LOGIC/From Register5',
12861
                    'type' => 'UFix_32_0',
12862
                  },
12863
                  'direction' => 'in',
12864
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12865
                  'width' => 32,
12866
                },
12867
                'data_out_x23' => {
12868
                  'attributes' => {
12869
                    'bin_pt' => 0,
12870
                    'is_floating_block' => 1,
12871
                    'must_be_hdl_vector' => 1,
12872
                    'period' => 1,
12873
                    'port_id' => 0,
12874
                    'simulinkName' => 'INOUT_LOGIC/From Register6',
12875
                    'type' => 'UFix_1_0',
12876
                  },
12877
                  'direction' => 'in',
12878
                  'hdlType' => 'std_logic',
12879
                  'width' => 1,
12880
                },
12881
                'data_out_x24' => {
12882
                  'attributes' => {
12883
                    'bin_pt' => 0,
12884
                    'is_floating_block' => 1,
12885
                    'must_be_hdl_vector' => 1,
12886
                    'period' => 1,
12887
                    'port_id' => 0,
12888
                    'simulinkName' => 'INOUT_LOGIC/From Register7',
12889
                    'type' => 'UFix_32_0',
12890
                  },
12891
                  'direction' => 'in',
12892
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12893
                  'width' => 32,
12894
                },
12895
                'data_out_x25' => {
12896
                  'attributes' => {
12897
                    'bin_pt' => 0,
12898
                    'is_floating_block' => 1,
12899
                    'must_be_hdl_vector' => 1,
12900
                    'period' => 1,
12901
                    'port_id' => 0,
12902
                    'simulinkName' => 'INOUT_LOGIC/From Register8',
12903
                    'type' => 'UFix_32_0',
12904
                  },
12905
                  'direction' => 'in',
12906
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12907
                  'width' => 32,
12908
                },
12909
                'data_out_x26' => {
12910
                  'attributes' => {
12911
                    'bin_pt' => 0,
12912
                    'is_floating_block' => 1,
12913
                    'must_be_hdl_vector' => 1,
12914
                    'period' => 1,
12915
                    'port_id' => 0,
12916
                    'simulinkName' => 'INOUT_LOGIC/From Register9',
12917
                    'type' => 'UFix_1_0',
12918
                  },
12919
                  'direction' => 'in',
12920
                  'hdlType' => 'std_logic',
12921
                  'width' => 1,
12922
                },
12923
                'data_out_x3' => {
12924
                  'attributes' => {
12925
                    'bin_pt' => 0,
12926
                    'is_floating_block' => 1,
12927
                    'must_be_hdl_vector' => 1,
12928
                    'period' => 1,
12929
                    'port_id' => 0,
12930
                    'simulinkName' => 'INOUT_LOGIC/From Register13',
12931
                    'type' => 'UFix_32_0',
12932
                  },
12933
                  'direction' => 'in',
12934
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12935
                  'width' => 32,
12936
                },
12937
                'data_out_x4' => {
12938
                  'attributes' => {
12939
                    'bin_pt' => 0,
12940
                    'is_floating_block' => 1,
12941
                    'must_be_hdl_vector' => 1,
12942
                    'period' => 1,
12943
                    'port_id' => 0,
12944
                    'simulinkName' => 'INOUT_LOGIC/From Register14',
12945
                    'type' => 'UFix_1_0',
12946
                  },
12947
                  'direction' => 'in',
12948
                  'hdlType' => 'std_logic',
12949
                  'width' => 1,
12950
                },
12951
                'data_out_x5' => {
12952
                  'attributes' => {
12953
                    'bin_pt' => 0,
12954
                    'is_floating_block' => 1,
12955
                    'must_be_hdl_vector' => 1,
12956
                    'period' => 1,
12957
                    'port_id' => 0,
12958
                    'simulinkName' => 'INOUT_LOGIC/From Register15',
12959
                    'type' => 'UFix_32_0',
12960
                  },
12961
                  'direction' => 'in',
12962
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12963
                  'width' => 32,
12964
                },
12965
                'data_out_x6' => {
12966
                  'attributes' => {
12967
                    'bin_pt' => 0,
12968
                    'is_floating_block' => 1,
12969
                    'must_be_hdl_vector' => 1,
12970
                    'period' => 1,
12971
                    'port_id' => 0,
12972
                    'simulinkName' => 'INOUT_LOGIC/From Register16',
12973
                    'type' => 'UFix_1_0',
12974
                  },
12975
                  'direction' => 'in',
12976
                  'hdlType' => 'std_logic',
12977
                  'width' => 1,
12978
                },
12979
                'data_out_x7' => {
12980
                  'attributes' => {
12981
                    'bin_pt' => 0,
12982
                    'is_floating_block' => 1,
12983
                    'must_be_hdl_vector' => 1,
12984
                    'period' => 1,
12985
                    'port_id' => 0,
12986
                    'simulinkName' => 'INOUT_LOGIC/From Register17',
12987
                    'type' => 'UFix_32_0',
12988
                  },
12989
                  'direction' => 'in',
12990
                  'hdlType' => 'std_logic_vector(31 downto 0)',
12991
                  'width' => 32,
12992
                },
12993
                'data_out_x8' => {
12994
                  'attributes' => {
12995
                    'bin_pt' => 0,
12996
                    'is_floating_block' => 1,
12997
                    'must_be_hdl_vector' => 1,
12998
                    'period' => 1,
12999
                    'port_id' => 0,
13000
                    'simulinkName' => 'INOUT_LOGIC/From Register18',
13001
                    'type' => 'UFix_1_0',
13002
                  },
13003
                  'direction' => 'in',
13004
                  'hdlType' => 'std_logic',
13005
                  'width' => 1,
13006
                },
13007
                'data_out_x9' => {
13008
                  'attributes' => {
13009
                    'bin_pt' => 0,
13010
                    'is_floating_block' => 1,
13011
                    'must_be_hdl_vector' => 1,
13012
                    'period' => 1,
13013
                    'port_id' => 0,
13014
                    'simulinkName' => 'INOUT_LOGIC/From Register19',
13015
                    'type' => 'UFix_32_0',
13016
                  },
13017
                  'direction' => 'in',
13018
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13019
                  'width' => 32,
13020
                },
13021
                'debug_in_1i' => {
13022
                  'attributes' => {
13023
                    'bin_pt' => 0,
13024
                    'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_1i.dat',
13025
                    'is_floating_block' => 1,
13026
                    'is_gateway_port' => 1,
13027
                    'must_be_hdl_vector' => 1,
13028
                    'period' => 1,
13029
                    'port_id' => 0,
13030
                    'simulinkName' => 'INOUT_LOGIC/debug_in_1i',
13031
                    'source_block' => 'INOUT_LOGIC',
13032
                    'timingConstraint' => 'none',
13033
                    'type' => 'UFix_32_0',
13034
                  },
13035
                  'direction' => 'in',
13036
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13037
                  'width' => 32,
13038
                },
13039
                'debug_in_2i' => {
13040
                  'attributes' => {
13041
                    'bin_pt' => 0,
13042
                    'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_2i.dat',
13043
                    'is_floating_block' => 1,
13044
                    'is_gateway_port' => 1,
13045
                    'must_be_hdl_vector' => 1,
13046
                    'period' => 1,
13047
                    'port_id' => 0,
13048
                    'simulinkName' => 'INOUT_LOGIC/debug_in_2i',
13049
                    'source_block' => 'INOUT_LOGIC',
13050
                    'timingConstraint' => 'none',
13051
                    'type' => 'UFix_32_0',
13052
                  },
13053
                  'direction' => 'in',
13054
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13055
                  'width' => 32,
13056
                },
13057
                'debug_in_3i' => {
13058
                  'attributes' => {
13059
                    'bin_pt' => 0,
13060
                    'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_3i.dat',
13061
                    'is_floating_block' => 1,
13062
                    'is_gateway_port' => 1,
13063
                    'must_be_hdl_vector' => 1,
13064
                    'period' => 1,
13065
                    'port_id' => 0,
13066
                    'simulinkName' => 'INOUT_LOGIC/debug_in_3i',
13067
                    'source_block' => 'INOUT_LOGIC',
13068
                    'timingConstraint' => 'none',
13069
                    'type' => 'UFix_32_0',
13070
                  },
13071
                  'direction' => 'in',
13072
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13073
                  'width' => 32,
13074
                },
13075
                'debug_in_4i' => {
13076
                  'attributes' => {
13077
                    'bin_pt' => 0,
13078
                    'inputFile' => 'pcie_userlogic_00_inout_logic_debug_in_4i.dat',
13079
                    'is_floating_block' => 1,
13080
                    'is_gateway_port' => 1,
13081
                    'must_be_hdl_vector' => 1,
13082
                    'period' => 1,
13083
                    'port_id' => 0,
13084
                    'simulinkName' => 'INOUT_LOGIC/debug_in_4i',
13085
                    'source_block' => 'INOUT_LOGIC',
13086
                    'timingConstraint' => 'none',
13087
                    'type' => 'UFix_32_0',
13088
                  },
13089
                  'direction' => 'in',
13090
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13091
                  'width' => 32,
13092
                },
13093
                'dma_host2board_busy' => {
13094
                  'attributes' => {
13095
                    'bin_pt' => 0,
13096
                    'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_busy.dat',
13097
                    'is_floating_block' => 1,
13098
                    'is_gateway_port' => 1,
13099
                    'must_be_hdl_vector' => 1,
13100
                    'period' => 1,
13101
                    'port_id' => 0,
13102
                    'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Busy',
13103
                    'source_block' => 'INOUT_LOGIC',
13104
                    'timingConstraint' => 'none',
13105
                    'type' => 'UFix_1_0',
13106
                  },
13107
                  'direction' => 'in',
13108
                  'hdlType' => 'std_logic',
13109
                  'width' => 1,
13110
                },
13111
                'dma_host2board_done' => {
13112
                  'attributes' => {
13113
                    'bin_pt' => 0,
13114
                    'inputFile' => 'pcie_userlogic_00_inout_logic_dma_host2board_done.dat',
13115
                    'is_floating_block' => 1,
13116
                    'is_gateway_port' => 1,
13117
                    'must_be_hdl_vector' => 1,
13118
                    'period' => 1,
13119
                    'port_id' => 0,
13120
                    'simulinkName' => 'INOUT_LOGIC/DMA_Host2Board_Done',
13121
                    'source_block' => 'INOUT_LOGIC',
13122
                    'timingConstraint' => 'none',
13123
                    'type' => 'UFix_1_0',
13124
                  },
13125
                  'direction' => 'in',
13126
                  'hdlType' => 'std_logic',
13127
                  'width' => 1,
13128
                },
13129
                'en' => {
13130
                  'attributes' => {
13131
                    'bin_pt' => 0,
13132
                    'is_floating_block' => 1,
13133
                    'must_be_hdl_vector' => 1,
13134
                    'period' => 1,
13135
                    'port_id' => 0,
13136
                    'simulinkName' => 'INOUT_LOGIC/en',
13137
                    'type' => 'Bool',
13138
                  },
13139
                  'direction' => 'out',
13140
                  'hdlType' => 'std_logic',
13141
                  'width' => 1,
13142
                },
13143
                'en_x0' => {
13144
                  'attributes' => {
13145
                    'bin_pt' => 0,
13146
                    'is_floating_block' => 1,
13147
                    'must_be_hdl_vector' => 1,
13148
                    'period' => 1,
13149
                    'port_id' => 0,
13150
                    'simulinkName' => 'INOUT_LOGIC/en',
13151
                    'type' => 'Bool',
13152
                  },
13153
                  'direction' => 'out',
13154
                  'hdlType' => 'std_logic',
13155
                  'width' => 1,
13156
                },
13157
                'en_x1' => {
13158
                  'attributes' => {
13159
                    'bin_pt' => 0,
13160
                    'is_floating_block' => 1,
13161
                    'must_be_hdl_vector' => 1,
13162
                    'period' => 1,
13163
                    'port_id' => 0,
13164
                    'simulinkName' => 'INOUT_LOGIC/en',
13165
                    'type' => 'Bool',
13166
                  },
13167
                  'direction' => 'out',
13168
                  'hdlType' => 'std_logic',
13169
                  'width' => 1,
13170
                },
13171
                'en_x10' => {
13172
                  'attributes' => {
13173
                    'bin_pt' => 0,
13174
                    'is_floating_block' => 1,
13175
                    'must_be_hdl_vector' => 1,
13176
                    'period' => 1,
13177
                    'port_id' => 0,
13178
                    'simulinkName' => 'INOUT_LOGIC/en',
13179
                    'type' => 'Bool',
13180
                  },
13181
                  'direction' => 'out',
13182
                  'hdlType' => 'std_logic',
13183
                  'width' => 1,
13184
                },
13185
                'en_x11' => {
13186
                  'attributes' => {
13187
                    'bin_pt' => 0,
13188
                    'is_floating_block' => 1,
13189
                    'must_be_hdl_vector' => 1,
13190
                    'period' => 1,
13191
                    'port_id' => 0,
13192
                    'simulinkName' => 'INOUT_LOGIC/en',
13193
                    'type' => 'Bool',
13194
                  },
13195
                  'direction' => 'out',
13196
                  'hdlType' => 'std_logic',
13197
                  'width' => 1,
13198
                },
13199
                'en_x12' => {
13200
                  'attributes' => {
13201
                    'bin_pt' => 0,
13202
                    'is_floating_block' => 1,
13203
                    'must_be_hdl_vector' => 1,
13204
                    'period' => 1,
13205
                    'port_id' => 0,
13206
                    'simulinkName' => 'INOUT_LOGIC/en',
13207
                    'type' => 'Bool',
13208
                  },
13209
                  'direction' => 'out',
13210
                  'hdlType' => 'std_logic',
13211
                  'width' => 1,
13212
                },
13213
                'en_x13' => {
13214
                  'attributes' => {
13215
                    'bin_pt' => 0,
13216
                    'is_floating_block' => 1,
13217
                    'must_be_hdl_vector' => 1,
13218
                    'period' => 1,
13219
                    'port_id' => 0,
13220
                    'simulinkName' => 'INOUT_LOGIC/en',
13221
                    'type' => 'Bool',
13222
                  },
13223
                  'direction' => 'out',
13224
                  'hdlType' => 'std_logic',
13225
                  'width' => 1,
13226
                },
13227
                'en_x14' => {
13228
                  'attributes' => {
13229
                    'bin_pt' => 0,
13230
                    'is_floating_block' => 1,
13231
                    'must_be_hdl_vector' => 1,
13232
                    'period' => 1,
13233
                    'port_id' => 0,
13234
                    'simulinkName' => 'INOUT_LOGIC/en',
13235
                    'type' => 'Bool',
13236
                  },
13237
                  'direction' => 'out',
13238
                  'hdlType' => 'std_logic',
13239
                  'width' => 1,
13240
                },
13241
                'en_x15' => {
13242
                  'attributes' => {
13243
                    'bin_pt' => 0,
13244
                    'is_floating_block' => 1,
13245
                    'must_be_hdl_vector' => 1,
13246
                    'period' => 1,
13247
                    'port_id' => 0,
13248
                    'simulinkName' => 'INOUT_LOGIC/en',
13249
                    'type' => 'Bool',
13250
                  },
13251
                  'direction' => 'out',
13252
                  'hdlType' => 'std_logic',
13253
                  'width' => 1,
13254
                },
13255
                'en_x16' => {
13256
                  'attributes' => {
13257
                    'bin_pt' => 0,
13258
                    'is_floating_block' => 1,
13259
                    'must_be_hdl_vector' => 1,
13260
                    'period' => 1,
13261
                    'port_id' => 0,
13262
                    'simulinkName' => 'INOUT_LOGIC/en',
13263
                    'type' => 'Bool',
13264
                  },
13265
                  'direction' => 'out',
13266
                  'hdlType' => 'std_logic',
13267
                  'width' => 1,
13268
                },
13269
                'en_x17' => {
13270
                  'attributes' => {
13271
                    'bin_pt' => 0,
13272
                    'is_floating_block' => 1,
13273
                    'must_be_hdl_vector' => 1,
13274
                    'period' => 1,
13275
                    'port_id' => 0,
13276
                    'simulinkName' => 'INOUT_LOGIC/en',
13277
                    'type' => 'Bool',
13278
                  },
13279
                  'direction' => 'out',
13280
                  'hdlType' => 'std_logic',
13281
                  'width' => 1,
13282
                },
13283
                'en_x18' => {
13284
                  'attributes' => {
13285
                    'bin_pt' => 0,
13286
                    'is_floating_block' => 1,
13287
                    'must_be_hdl_vector' => 1,
13288
                    'period' => 1,
13289
                    'port_id' => 0,
13290
                    'simulinkName' => 'INOUT_LOGIC/en',
13291
                    'type' => 'Bool',
13292
                  },
13293
                  'direction' => 'out',
13294
                  'hdlType' => 'std_logic',
13295
                  'width' => 1,
13296
                },
13297
                'en_x19' => {
13298
                  'attributes' => {
13299
                    'bin_pt' => 0,
13300
                    'is_floating_block' => 1,
13301
                    'must_be_hdl_vector' => 1,
13302
                    'period' => 1,
13303
                    'port_id' => 0,
13304
                    'simulinkName' => 'INOUT_LOGIC/en',
13305
                    'type' => 'Bool',
13306
                  },
13307
                  'direction' => 'out',
13308
                  'hdlType' => 'std_logic',
13309
                  'width' => 1,
13310
                },
13311
                'en_x2' => {
13312
                  'attributes' => {
13313
                    'bin_pt' => 0,
13314
                    'is_floating_block' => 1,
13315
                    'must_be_hdl_vector' => 1,
13316
                    'period' => 1,
13317
                    'port_id' => 0,
13318
                    'simulinkName' => 'INOUT_LOGIC/en',
13319
                    'type' => 'Bool',
13320
                  },
13321
                  'direction' => 'out',
13322
                  'hdlType' => 'std_logic',
13323
                  'width' => 1,
13324
                },
13325
                'en_x20' => {
13326
                  'attributes' => {
13327
                    'bin_pt' => 0,
13328
                    'is_floating_block' => 1,
13329
                    'must_be_hdl_vector' => 1,
13330
                    'period' => 1,
13331
                    'port_id' => 0,
13332
                    'simulinkName' => 'INOUT_LOGIC/en',
13333
                    'type' => 'Bool',
13334
                  },
13335
                  'direction' => 'out',
13336
                  'hdlType' => 'std_logic',
13337
                  'width' => 1,
13338
                },
13339
                'en_x21' => {
13340
                  'attributes' => {
13341
                    'bin_pt' => 0,
13342
                    'is_floating_block' => 1,
13343
                    'must_be_hdl_vector' => 1,
13344
                    'period' => 1,
13345
                    'port_id' => 0,
13346
                    'simulinkName' => 'INOUT_LOGIC/en',
13347
                    'type' => 'Bool',
13348
                  },
13349
                  'direction' => 'out',
13350
                  'hdlType' => 'std_logic',
13351
                  'width' => 1,
13352
                },
13353
                'en_x22' => {
13354
                  'attributes' => {
13355
                    'bin_pt' => 0,
13356
                    'is_floating_block' => 1,
13357
                    'must_be_hdl_vector' => 1,
13358
                    'period' => 1,
13359
                    'port_id' => 0,
13360
                    'simulinkName' => 'INOUT_LOGIC/en',
13361
                    'type' => 'Bool',
13362
                  },
13363
                  'direction' => 'out',
13364
                  'hdlType' => 'std_logic',
13365
                  'width' => 1,
13366
                },
13367
                'en_x23' => {
13368
                  'attributes' => {
13369
                    'bin_pt' => 0,
13370
                    'is_floating_block' => 1,
13371
                    'must_be_hdl_vector' => 1,
13372
                    'period' => 1,
13373
                    'port_id' => 0,
13374
                    'simulinkName' => 'INOUT_LOGIC/en',
13375
                    'type' => 'Bool',
13376
                  },
13377
                  'direction' => 'out',
13378
                  'hdlType' => 'std_logic',
13379
                  'width' => 1,
13380
                },
13381
                'en_x24' => {
13382
                  'attributes' => {
13383
                    'bin_pt' => 0,
13384
                    'is_floating_block' => 1,
13385
                    'must_be_hdl_vector' => 1,
13386
                    'period' => 1,
13387
                    'port_id' => 0,
13388
                    'simulinkName' => 'INOUT_LOGIC/en',
13389
                    'type' => 'Bool',
13390
                  },
13391
                  'direction' => 'out',
13392
                  'hdlType' => 'std_logic',
13393
                  'width' => 1,
13394
                },
13395
                'en_x25' => {
13396
                  'attributes' => {
13397
                    'bin_pt' => 0,
13398
                    'is_floating_block' => 1,
13399
                    'must_be_hdl_vector' => 1,
13400
                    'period' => 1,
13401
                    'port_id' => 0,
13402
                    'simulinkName' => 'INOUT_LOGIC/en',
13403
                    'type' => 'Bool',
13404
                  },
13405
                  'direction' => 'out',
13406
                  'hdlType' => 'std_logic',
13407
                  'width' => 1,
13408
                },
13409
                'en_x26' => {
13410
                  'attributes' => {
13411
                    'bin_pt' => 0,
13412
                    'is_floating_block' => 1,
13413
                    'must_be_hdl_vector' => 1,
13414
                    'period' => 1,
13415
                    'port_id' => 0,
13416
                    'simulinkName' => 'INOUT_LOGIC/en',
13417
                    'type' => 'Bool',
13418
                  },
13419
                  'direction' => 'out',
13420
                  'hdlType' => 'std_logic',
13421
                  'width' => 1,
13422
                },
13423
                'en_x27' => {
13424
                  'attributes' => {
13425
                    'bin_pt' => 0,
13426
                    'is_floating_block' => 1,
13427
                    'must_be_hdl_vector' => 1,
13428
                    'period' => 1,
13429
                    'port_id' => 0,
13430
                    'simulinkName' => 'INOUT_LOGIC/en',
13431
                    'type' => 'Bool',
13432
                  },
13433
                  'direction' => 'out',
13434
                  'hdlType' => 'std_logic',
13435
                  'width' => 1,
13436
                },
13437
                'en_x28' => {
13438
                  'attributes' => {
13439
                    'bin_pt' => 0,
13440
                    'is_floating_block' => 1,
13441
                    'must_be_hdl_vector' => 1,
13442
                    'period' => 1,
13443
                    'port_id' => 0,
13444
                    'simulinkName' => 'INOUT_LOGIC/en',
13445
                    'type' => 'Bool',
13446
                  },
13447
                  'direction' => 'out',
13448
                  'hdlType' => 'std_logic',
13449
                  'width' => 1,
13450
                },
13451
                'en_x29' => {
13452
                  'attributes' => {
13453
                    'bin_pt' => 0,
13454
                    'is_floating_block' => 1,
13455
                    'must_be_hdl_vector' => 1,
13456
                    'period' => 1,
13457
                    'port_id' => 0,
13458
                    'simulinkName' => 'INOUT_LOGIC/en',
13459
                    'type' => 'Bool',
13460
                  },
13461
                  'direction' => 'out',
13462
                  'hdlType' => 'std_logic',
13463
                  'width' => 1,
13464
                },
13465
                'en_x3' => {
13466
                  'attributes' => {
13467
                    'bin_pt' => 0,
13468
                    'is_floating_block' => 1,
13469
                    'must_be_hdl_vector' => 1,
13470
                    'period' => 1,
13471
                    'port_id' => 0,
13472
                    'simulinkName' => 'INOUT_LOGIC/en',
13473
                    'type' => 'Bool',
13474
                  },
13475
                  'direction' => 'out',
13476
                  'hdlType' => 'std_logic',
13477
                  'width' => 1,
13478
                },
13479
                'en_x30' => {
13480
                  'attributes' => {
13481
                    'bin_pt' => 0,
13482
                    'is_floating_block' => 1,
13483
                    'must_be_hdl_vector' => 1,
13484
                    'period' => 1,
13485
                    'port_id' => 0,
13486
                    'simulinkName' => 'INOUT_LOGIC/en',
13487
                    'type' => 'Bool',
13488
                  },
13489
                  'direction' => 'out',
13490
                  'hdlType' => 'std_logic',
13491
                  'width' => 1,
13492
                },
13493
                'en_x31' => {
13494
                  'attributes' => {
13495
                    'bin_pt' => 0,
13496
                    'is_floating_block' => 1,
13497
                    'must_be_hdl_vector' => 1,
13498
                    'period' => 1,
13499
                    'port_id' => 0,
13500
                    'simulinkName' => 'INOUT_LOGIC/en',
13501
                    'type' => 'Bool',
13502
                  },
13503
                  'direction' => 'out',
13504
                  'hdlType' => 'std_logic',
13505
                  'width' => 1,
13506
                },
13507
                'en_x32' => {
13508
                  'attributes' => {
13509
                    'bin_pt' => 0,
13510
                    'is_floating_block' => 1,
13511
                    'must_be_hdl_vector' => 1,
13512
                    'period' => 1,
13513
                    'port_id' => 0,
13514
                    'simulinkName' => 'INOUT_LOGIC/en',
13515
                    'type' => 'Bool',
13516
                  },
13517
                  'direction' => 'out',
13518
                  'hdlType' => 'std_logic',
13519
                  'width' => 1,
13520
                },
13521
                'en_x4' => {
13522
                  'attributes' => {
13523
                    'bin_pt' => 0,
13524
                    'is_floating_block' => 1,
13525
                    'must_be_hdl_vector' => 1,
13526
                    'period' => 1,
13527
                    'port_id' => 0,
13528
                    'simulinkName' => 'INOUT_LOGIC/en',
13529
                    'type' => 'Bool',
13530
                  },
13531
                  'direction' => 'out',
13532
                  'hdlType' => 'std_logic',
13533
                  'width' => 1,
13534
                },
13535
                'en_x5' => {
13536
                  'attributes' => {
13537
                    'bin_pt' => 0,
13538
                    'is_floating_block' => 1,
13539
                    'must_be_hdl_vector' => 1,
13540
                    'period' => 1,
13541
                    'port_id' => 0,
13542
                    'simulinkName' => 'INOUT_LOGIC/en',
13543
                    'type' => 'Bool',
13544
                  },
13545
                  'direction' => 'out',
13546
                  'hdlType' => 'std_logic',
13547
                  'width' => 1,
13548
                },
13549
                'en_x6' => {
13550
                  'attributes' => {
13551
                    'bin_pt' => 0,
13552
                    'is_floating_block' => 1,
13553
                    'must_be_hdl_vector' => 1,
13554
                    'period' => 1,
13555
                    'port_id' => 0,
13556
                    'simulinkName' => 'INOUT_LOGIC/en',
13557
                    'type' => 'Bool',
13558
                  },
13559
                  'direction' => 'out',
13560
                  'hdlType' => 'std_logic',
13561
                  'width' => 1,
13562
                },
13563
                'en_x7' => {
13564
                  'attributes' => {
13565
                    'bin_pt' => 0,
13566
                    'is_floating_block' => 1,
13567
                    'must_be_hdl_vector' => 1,
13568
                    'period' => 1,
13569
                    'port_id' => 0,
13570
                    'simulinkName' => 'INOUT_LOGIC/en',
13571
                    'type' => 'Bool',
13572
                  },
13573
                  'direction' => 'out',
13574
                  'hdlType' => 'std_logic',
13575
                  'width' => 1,
13576
                },
13577
                'en_x8' => {
13578
                  'attributes' => {
13579
                    'bin_pt' => 0,
13580
                    'is_floating_block' => 1,
13581
                    'must_be_hdl_vector' => 1,
13582
                    'period' => 1,
13583
                    'port_id' => 0,
13584
                    'simulinkName' => 'INOUT_LOGIC/en',
13585
                    'type' => 'Bool',
13586
                  },
13587
                  'direction' => 'out',
13588
                  'hdlType' => 'std_logic',
13589
                  'width' => 1,
13590
                },
13591
                'en_x9' => {
13592
                  'attributes' => {
13593
                    'bin_pt' => 0,
13594
                    'is_floating_block' => 1,
13595
                    'must_be_hdl_vector' => 1,
13596
                    'period' => 1,
13597
                    'port_id' => 0,
13598
                    'simulinkName' => 'INOUT_LOGIC/en',
13599
                    'type' => 'Bool',
13600
                  },
13601
                  'direction' => 'out',
13602
                  'hdlType' => 'std_logic',
13603
                  'width' => 1,
13604
                },
13605
                'reg01_rd' => {
13606
                  'attributes' => {
13607
                    'bin_pt' => 0,
13608
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rd.dat',
13609
                    'is_floating_block' => 1,
13610
                    'is_gateway_port' => 1,
13611
                    'must_be_hdl_vector' => 1,
13612
                    'period' => 1,
13613
                    'port_id' => 0,
13614
                    'simulinkName' => 'INOUT_LOGIC/reg01_rd',
13615
                    'source_block' => 'INOUT_LOGIC',
13616
                    'timingConstraint' => 'none',
13617
                    'type' => 'UFix_32_0',
13618
                  },
13619
                  'direction' => 'out',
13620
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13621
                  'width' => 32,
13622
                },
13623
                'reg01_rv' => {
13624
                  'attributes' => {
13625
                    'bin_pt' => 0,
13626
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_rv.dat',
13627
                    'is_floating_block' => 1,
13628
                    'is_gateway_port' => 1,
13629
                    'must_be_hdl_vector' => 1,
13630
                    'period' => 1,
13631
                    'port_id' => 0,
13632
                    'simulinkName' => 'INOUT_LOGIC/reg01_rv',
13633
                    'source_block' => 'INOUT_LOGIC',
13634
                    'timingConstraint' => 'none',
13635
                    'type' => 'UFix_1_0',
13636
                  },
13637
                  'direction' => 'out',
13638
                  'hdlType' => 'std_logic',
13639
                  'width' => 1,
13640
                },
13641
                'reg01_td' => {
13642
                  'attributes' => {
13643
                    'bin_pt' => 0,
13644
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_td.dat',
13645
                    'is_floating_block' => 1,
13646
                    'is_gateway_port' => 1,
13647
                    'must_be_hdl_vector' => 1,
13648
                    'period' => 1,
13649
                    'port_id' => 0,
13650
                    'simulinkName' => 'INOUT_LOGIC/reg01_td',
13651
                    'source_block' => 'INOUT_LOGIC',
13652
                    'timingConstraint' => 'none',
13653
                    'type' => 'UFix_32_0',
13654
                  },
13655
                  'direction' => 'in',
13656
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13657
                  'width' => 32,
13658
                },
13659
                'reg01_tv' => {
13660
                  'attributes' => {
13661
                    'bin_pt' => 0,
13662
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg01_tv.dat',
13663
                    'is_floating_block' => 1,
13664
                    'is_gateway_port' => 1,
13665
                    'must_be_hdl_vector' => 1,
13666
                    'period' => 1,
13667
                    'port_id' => 0,
13668
                    'simulinkName' => 'INOUT_LOGIC/reg01_tv',
13669
                    'source_block' => 'INOUT_LOGIC',
13670
                    'timingConstraint' => 'none',
13671
                    'type' => 'Bool',
13672
                  },
13673
                  'direction' => 'in',
13674
                  'hdlType' => 'std_logic',
13675
                  'width' => 1,
13676
                },
13677
                'reg02_rd' => {
13678
                  'attributes' => {
13679
                    'bin_pt' => 0,
13680
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rd.dat',
13681
                    'is_floating_block' => 1,
13682
                    'is_gateway_port' => 1,
13683
                    'must_be_hdl_vector' => 1,
13684
                    'period' => 1,
13685
                    'port_id' => 0,
13686
                    'simulinkName' => 'INOUT_LOGIC/reg02_rd',
13687
                    'source_block' => 'INOUT_LOGIC',
13688
                    'timingConstraint' => 'none',
13689
                    'type' => 'UFix_32_0',
13690
                  },
13691
                  'direction' => 'out',
13692
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13693
                  'width' => 32,
13694
                },
13695
                'reg02_rv' => {
13696
                  'attributes' => {
13697
                    'bin_pt' => 0,
13698
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_rv.dat',
13699
                    'is_floating_block' => 1,
13700
                    'is_gateway_port' => 1,
13701
                    'must_be_hdl_vector' => 1,
13702
                    'period' => 1,
13703
                    'port_id' => 0,
13704
                    'simulinkName' => 'INOUT_LOGIC/reg02_rv',
13705
                    'source_block' => 'INOUT_LOGIC',
13706
                    'timingConstraint' => 'none',
13707
                    'type' => 'UFix_1_0',
13708
                  },
13709
                  'direction' => 'out',
13710
                  'hdlType' => 'std_logic',
13711
                  'width' => 1,
13712
                },
13713
                'reg02_td' => {
13714
                  'attributes' => {
13715
                    'bin_pt' => 0,
13716
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_td.dat',
13717
                    'is_floating_block' => 1,
13718
                    'is_gateway_port' => 1,
13719
                    'must_be_hdl_vector' => 1,
13720
                    'period' => 1,
13721
                    'port_id' => 0,
13722
                    'simulinkName' => 'INOUT_LOGIC/reg02_td',
13723
                    'source_block' => 'INOUT_LOGIC',
13724
                    'timingConstraint' => 'none',
13725
                    'type' => 'UFix_32_0',
13726
                  },
13727
                  'direction' => 'in',
13728
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13729
                  'width' => 32,
13730
                },
13731
                'reg02_tv' => {
13732
                  'attributes' => {
13733
                    'bin_pt' => 0,
13734
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg02_tv.dat',
13735
                    'is_floating_block' => 1,
13736
                    'is_gateway_port' => 1,
13737
                    'must_be_hdl_vector' => 1,
13738
                    'period' => 1,
13739
                    'port_id' => 0,
13740
                    'simulinkName' => 'INOUT_LOGIC/reg02_tv',
13741
                    'source_block' => 'INOUT_LOGIC',
13742
                    'timingConstraint' => 'none',
13743
                    'type' => 'Bool',
13744
                  },
13745
                  'direction' => 'in',
13746
                  'hdlType' => 'std_logic',
13747
                  'width' => 1,
13748
                },
13749
                'reg03_rd' => {
13750
                  'attributes' => {
13751
                    'bin_pt' => 0,
13752
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rd.dat',
13753
                    'is_floating_block' => 1,
13754
                    'is_gateway_port' => 1,
13755
                    'must_be_hdl_vector' => 1,
13756
                    'period' => 1,
13757
                    'port_id' => 0,
13758
                    'simulinkName' => 'INOUT_LOGIC/reg03_rd',
13759
                    'source_block' => 'INOUT_LOGIC',
13760
                    'timingConstraint' => 'none',
13761
                    'type' => 'UFix_32_0',
13762
                  },
13763
                  'direction' => 'out',
13764
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13765
                  'width' => 32,
13766
                },
13767
                'reg03_rv' => {
13768
                  'attributes' => {
13769
                    'bin_pt' => 0,
13770
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_rv.dat',
13771
                    'is_floating_block' => 1,
13772
                    'is_gateway_port' => 1,
13773
                    'must_be_hdl_vector' => 1,
13774
                    'period' => 1,
13775
                    'port_id' => 0,
13776
                    'simulinkName' => 'INOUT_LOGIC/reg03_rv',
13777
                    'source_block' => 'INOUT_LOGIC',
13778
                    'timingConstraint' => 'none',
13779
                    'type' => 'UFix_1_0',
13780
                  },
13781
                  'direction' => 'out',
13782
                  'hdlType' => 'std_logic',
13783
                  'width' => 1,
13784
                },
13785
                'reg03_td' => {
13786
                  'attributes' => {
13787
                    'bin_pt' => 0,
13788
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_td.dat',
13789
                    'is_floating_block' => 1,
13790
                    'is_gateway_port' => 1,
13791
                    'must_be_hdl_vector' => 1,
13792
                    'period' => 1,
13793
                    'port_id' => 0,
13794
                    'simulinkName' => 'INOUT_LOGIC/reg03_td',
13795
                    'source_block' => 'INOUT_LOGIC',
13796
                    'timingConstraint' => 'none',
13797
                    'type' => 'UFix_32_0',
13798
                  },
13799
                  'direction' => 'in',
13800
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13801
                  'width' => 32,
13802
                },
13803
                'reg03_tv' => {
13804
                  'attributes' => {
13805
                    'bin_pt' => 0,
13806
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg03_tv.dat',
13807
                    'is_floating_block' => 1,
13808
                    'is_gateway_port' => 1,
13809
                    'must_be_hdl_vector' => 1,
13810
                    'period' => 1,
13811
                    'port_id' => 0,
13812
                    'simulinkName' => 'INOUT_LOGIC/reg03_tv',
13813
                    'source_block' => 'INOUT_LOGIC',
13814
                    'timingConstraint' => 'none',
13815
                    'type' => 'Bool',
13816
                  },
13817
                  'direction' => 'in',
13818
                  'hdlType' => 'std_logic',
13819
                  'width' => 1,
13820
                },
13821
                'reg04_rd' => {
13822
                  'attributes' => {
13823
                    'bin_pt' => 0,
13824
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rd.dat',
13825
                    'is_floating_block' => 1,
13826
                    'is_gateway_port' => 1,
13827
                    'must_be_hdl_vector' => 1,
13828
                    'period' => 1,
13829
                    'port_id' => 0,
13830
                    'simulinkName' => 'INOUT_LOGIC/reg04_rd',
13831
                    'source_block' => 'INOUT_LOGIC',
13832
                    'timingConstraint' => 'none',
13833
                    'type' => 'UFix_32_0',
13834
                  },
13835
                  'direction' => 'out',
13836
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13837
                  'width' => 32,
13838
                },
13839
                'reg04_rv' => {
13840
                  'attributes' => {
13841
                    'bin_pt' => 0,
13842
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_rv.dat',
13843
                    'is_floating_block' => 1,
13844
                    'is_gateway_port' => 1,
13845
                    'must_be_hdl_vector' => 1,
13846
                    'period' => 1,
13847
                    'port_id' => 0,
13848
                    'simulinkName' => 'INOUT_LOGIC/reg04_rv',
13849
                    'source_block' => 'INOUT_LOGIC',
13850
                    'timingConstraint' => 'none',
13851
                    'type' => 'UFix_1_0',
13852
                  },
13853
                  'direction' => 'out',
13854
                  'hdlType' => 'std_logic',
13855
                  'width' => 1,
13856
                },
13857
                'reg04_td' => {
13858
                  'attributes' => {
13859
                    'bin_pt' => 0,
13860
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_td.dat',
13861
                    'is_floating_block' => 1,
13862
                    'is_gateway_port' => 1,
13863
                    'must_be_hdl_vector' => 1,
13864
                    'period' => 1,
13865
                    'port_id' => 0,
13866
                    'simulinkName' => 'INOUT_LOGIC/reg04_td',
13867
                    'source_block' => 'INOUT_LOGIC',
13868
                    'timingConstraint' => 'none',
13869
                    'type' => 'UFix_32_0',
13870
                  },
13871
                  'direction' => 'in',
13872
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13873
                  'width' => 32,
13874
                },
13875
                'reg04_tv' => {
13876
                  'attributes' => {
13877
                    'bin_pt' => 0,
13878
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg04_tv.dat',
13879
                    'is_floating_block' => 1,
13880
                    'is_gateway_port' => 1,
13881
                    'must_be_hdl_vector' => 1,
13882
                    'period' => 1,
13883
                    'port_id' => 0,
13884
                    'simulinkName' => 'INOUT_LOGIC/reg04_tv',
13885
                    'source_block' => 'INOUT_LOGIC',
13886
                    'timingConstraint' => 'none',
13887
                    'type' => 'Bool',
13888
                  },
13889
                  'direction' => 'in',
13890
                  'hdlType' => 'std_logic',
13891
                  'width' => 1,
13892
                },
13893
                'reg05_rd' => {
13894
                  'attributes' => {
13895
                    'bin_pt' => 0,
13896
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rd.dat',
13897
                    'is_floating_block' => 1,
13898
                    'is_gateway_port' => 1,
13899
                    'must_be_hdl_vector' => 1,
13900
                    'period' => 1,
13901
                    'port_id' => 0,
13902
                    'simulinkName' => 'INOUT_LOGIC/reg05_rd',
13903
                    'source_block' => 'INOUT_LOGIC',
13904
                    'timingConstraint' => 'none',
13905
                    'type' => 'UFix_32_0',
13906
                  },
13907
                  'direction' => 'out',
13908
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13909
                  'width' => 32,
13910
                },
13911
                'reg05_rv' => {
13912
                  'attributes' => {
13913
                    'bin_pt' => 0,
13914
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_rv.dat',
13915
                    'is_floating_block' => 1,
13916
                    'is_gateway_port' => 1,
13917
                    'must_be_hdl_vector' => 1,
13918
                    'period' => 1,
13919
                    'port_id' => 0,
13920
                    'simulinkName' => 'INOUT_LOGIC/reg05_rv',
13921
                    'source_block' => 'INOUT_LOGIC',
13922
                    'timingConstraint' => 'none',
13923
                    'type' => 'UFix_1_0',
13924
                  },
13925
                  'direction' => 'out',
13926
                  'hdlType' => 'std_logic',
13927
                  'width' => 1,
13928
                },
13929
                'reg05_td' => {
13930
                  'attributes' => {
13931
                    'bin_pt' => 0,
13932
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_td.dat',
13933
                    'is_floating_block' => 1,
13934
                    'is_gateway_port' => 1,
13935
                    'must_be_hdl_vector' => 1,
13936
                    'period' => 1,
13937
                    'port_id' => 0,
13938
                    'simulinkName' => 'INOUT_LOGIC/reg05_td',
13939
                    'source_block' => 'INOUT_LOGIC',
13940
                    'timingConstraint' => 'none',
13941
                    'type' => 'UFix_32_0',
13942
                  },
13943
                  'direction' => 'in',
13944
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13945
                  'width' => 32,
13946
                },
13947
                'reg05_tv' => {
13948
                  'attributes' => {
13949
                    'bin_pt' => 0,
13950
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg05_tv.dat',
13951
                    'is_floating_block' => 1,
13952
                    'is_gateway_port' => 1,
13953
                    'must_be_hdl_vector' => 1,
13954
                    'period' => 1,
13955
                    'port_id' => 0,
13956
                    'simulinkName' => 'INOUT_LOGIC/reg05_tv',
13957
                    'source_block' => 'INOUT_LOGIC',
13958
                    'timingConstraint' => 'none',
13959
                    'type' => 'Bool',
13960
                  },
13961
                  'direction' => 'in',
13962
                  'hdlType' => 'std_logic',
13963
                  'width' => 1,
13964
                },
13965
                'reg06_rd' => {
13966
                  'attributes' => {
13967
                    'bin_pt' => 0,
13968
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rd.dat',
13969
                    'is_floating_block' => 1,
13970
                    'is_gateway_port' => 1,
13971
                    'must_be_hdl_vector' => 1,
13972
                    'period' => 1,
13973
                    'port_id' => 0,
13974
                    'simulinkName' => 'INOUT_LOGIC/reg06_rd',
13975
                    'source_block' => 'INOUT_LOGIC',
13976
                    'timingConstraint' => 'none',
13977
                    'type' => 'UFix_32_0',
13978
                  },
13979
                  'direction' => 'out',
13980
                  'hdlType' => 'std_logic_vector(31 downto 0)',
13981
                  'width' => 32,
13982
                },
13983
                'reg06_rv' => {
13984
                  'attributes' => {
13985
                    'bin_pt' => 0,
13986
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_rv.dat',
13987
                    'is_floating_block' => 1,
13988
                    'is_gateway_port' => 1,
13989
                    'must_be_hdl_vector' => 1,
13990
                    'period' => 1,
13991
                    'port_id' => 0,
13992
                    'simulinkName' => 'INOUT_LOGIC/reg06_rv',
13993
                    'source_block' => 'INOUT_LOGIC',
13994
                    'timingConstraint' => 'none',
13995
                    'type' => 'UFix_1_0',
13996
                  },
13997
                  'direction' => 'out',
13998
                  'hdlType' => 'std_logic',
13999
                  'width' => 1,
14000
                },
14001
                'reg06_td' => {
14002
                  'attributes' => {
14003
                    'bin_pt' => 0,
14004
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_td.dat',
14005
                    'is_floating_block' => 1,
14006
                    'is_gateway_port' => 1,
14007
                    'must_be_hdl_vector' => 1,
14008
                    'period' => 1,
14009
                    'port_id' => 0,
14010
                    'simulinkName' => 'INOUT_LOGIC/reg06_td',
14011
                    'source_block' => 'INOUT_LOGIC',
14012
                    'timingConstraint' => 'none',
14013
                    'type' => 'UFix_32_0',
14014
                  },
14015
                  'direction' => 'in',
14016
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14017
                  'width' => 32,
14018
                },
14019
                'reg06_tv' => {
14020
                  'attributes' => {
14021
                    'bin_pt' => 0,
14022
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg06_tv.dat',
14023
                    'is_floating_block' => 1,
14024
                    'is_gateway_port' => 1,
14025
                    'must_be_hdl_vector' => 1,
14026
                    'period' => 1,
14027
                    'port_id' => 0,
14028
                    'simulinkName' => 'INOUT_LOGIC/reg06_tv',
14029
                    'source_block' => 'INOUT_LOGIC',
14030
                    'timingConstraint' => 'none',
14031
                    'type' => 'Bool',
14032
                  },
14033
                  'direction' => 'in',
14034
                  'hdlType' => 'std_logic',
14035
                  'width' => 1,
14036
                },
14037
                'reg07_rd' => {
14038
                  'attributes' => {
14039
                    'bin_pt' => 0,
14040
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rd.dat',
14041
                    'is_floating_block' => 1,
14042
                    'is_gateway_port' => 1,
14043
                    'must_be_hdl_vector' => 1,
14044
                    'period' => 1,
14045
                    'port_id' => 0,
14046
                    'simulinkName' => 'INOUT_LOGIC/reg07_rd',
14047
                    'source_block' => 'INOUT_LOGIC',
14048
                    'timingConstraint' => 'none',
14049
                    'type' => 'UFix_32_0',
14050
                  },
14051
                  'direction' => 'out',
14052
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14053
                  'width' => 32,
14054
                },
14055
                'reg07_rv' => {
14056
                  'attributes' => {
14057
                    'bin_pt' => 0,
14058
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_rv.dat',
14059
                    'is_floating_block' => 1,
14060
                    'is_gateway_port' => 1,
14061
                    'must_be_hdl_vector' => 1,
14062
                    'period' => 1,
14063
                    'port_id' => 0,
14064
                    'simulinkName' => 'INOUT_LOGIC/reg07_rv',
14065
                    'source_block' => 'INOUT_LOGIC',
14066
                    'timingConstraint' => 'none',
14067
                    'type' => 'UFix_1_0',
14068
                  },
14069
                  'direction' => 'out',
14070
                  'hdlType' => 'std_logic',
14071
                  'width' => 1,
14072
                },
14073
                'reg07_td' => {
14074
                  'attributes' => {
14075
                    'bin_pt' => 0,
14076
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_td.dat',
14077
                    'is_floating_block' => 1,
14078
                    'is_gateway_port' => 1,
14079
                    'must_be_hdl_vector' => 1,
14080
                    'period' => 1,
14081
                    'port_id' => 0,
14082
                    'simulinkName' => 'INOUT_LOGIC/reg07_td',
14083
                    'source_block' => 'INOUT_LOGIC',
14084
                    'timingConstraint' => 'none',
14085
                    'type' => 'UFix_32_0',
14086
                  },
14087
                  'direction' => 'in',
14088
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14089
                  'width' => 32,
14090
                },
14091
                'reg07_tv' => {
14092
                  'attributes' => {
14093
                    'bin_pt' => 0,
14094
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg07_tv.dat',
14095
                    'is_floating_block' => 1,
14096
                    'is_gateway_port' => 1,
14097
                    'must_be_hdl_vector' => 1,
14098
                    'period' => 1,
14099
                    'port_id' => 0,
14100
                    'simulinkName' => 'INOUT_LOGIC/reg07_tv',
14101
                    'source_block' => 'INOUT_LOGIC',
14102
                    'timingConstraint' => 'none',
14103
                    'type' => 'Bool',
14104
                  },
14105
                  'direction' => 'in',
14106
                  'hdlType' => 'std_logic',
14107
                  'width' => 1,
14108
                },
14109
                'reg08_rd' => {
14110
                  'attributes' => {
14111
                    'bin_pt' => 0,
14112
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rd.dat',
14113
                    'is_floating_block' => 1,
14114
                    'is_gateway_port' => 1,
14115
                    'must_be_hdl_vector' => 1,
14116
                    'period' => 1,
14117
                    'port_id' => 0,
14118
                    'simulinkName' => 'INOUT_LOGIC/reg08_rd',
14119
                    'source_block' => 'INOUT_LOGIC',
14120
                    'timingConstraint' => 'none',
14121
                    'type' => 'UFix_32_0',
14122
                  },
14123
                  'direction' => 'out',
14124
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14125
                  'width' => 32,
14126
                },
14127
                'reg08_rv' => {
14128
                  'attributes' => {
14129
                    'bin_pt' => 0,
14130
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_rv.dat',
14131
                    'is_floating_block' => 1,
14132
                    'is_gateway_port' => 1,
14133
                    'must_be_hdl_vector' => 1,
14134
                    'period' => 1,
14135
                    'port_id' => 0,
14136
                    'simulinkName' => 'INOUT_LOGIC/reg08_rv',
14137
                    'source_block' => 'INOUT_LOGIC',
14138
                    'timingConstraint' => 'none',
14139
                    'type' => 'UFix_1_0',
14140
                  },
14141
                  'direction' => 'out',
14142
                  'hdlType' => 'std_logic',
14143
                  'width' => 1,
14144
                },
14145
                'reg08_td' => {
14146
                  'attributes' => {
14147
                    'bin_pt' => 0,
14148
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_td.dat',
14149
                    'is_floating_block' => 1,
14150
                    'is_gateway_port' => 1,
14151
                    'must_be_hdl_vector' => 1,
14152
                    'period' => 1,
14153
                    'port_id' => 0,
14154
                    'simulinkName' => 'INOUT_LOGIC/reg08_td',
14155
                    'source_block' => 'INOUT_LOGIC',
14156
                    'timingConstraint' => 'none',
14157
                    'type' => 'UFix_32_0',
14158
                  },
14159
                  'direction' => 'in',
14160
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14161
                  'width' => 32,
14162
                },
14163
                'reg08_tv' => {
14164
                  'attributes' => {
14165
                    'bin_pt' => 0,
14166
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg08_tv.dat',
14167
                    'is_floating_block' => 1,
14168
                    'is_gateway_port' => 1,
14169
                    'must_be_hdl_vector' => 1,
14170
                    'period' => 1,
14171
                    'port_id' => 0,
14172
                    'simulinkName' => 'INOUT_LOGIC/reg08_tv',
14173
                    'source_block' => 'INOUT_LOGIC',
14174
                    'timingConstraint' => 'none',
14175
                    'type' => 'Bool',
14176
                  },
14177
                  'direction' => 'in',
14178
                  'hdlType' => 'std_logic',
14179
                  'width' => 1,
14180
                },
14181
                'reg09_rd' => {
14182
                  'attributes' => {
14183
                    'bin_pt' => 0,
14184
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rd.dat',
14185
                    'is_floating_block' => 1,
14186
                    'is_gateway_port' => 1,
14187
                    'must_be_hdl_vector' => 1,
14188
                    'period' => 1,
14189
                    'port_id' => 0,
14190
                    'simulinkName' => 'INOUT_LOGIC/reg09_rd',
14191
                    'source_block' => 'INOUT_LOGIC',
14192
                    'timingConstraint' => 'none',
14193
                    'type' => 'UFix_32_0',
14194
                  },
14195
                  'direction' => 'out',
14196
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14197
                  'width' => 32,
14198
                },
14199
                'reg09_rv' => {
14200
                  'attributes' => {
14201
                    'bin_pt' => 0,
14202
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_rv.dat',
14203
                    'is_floating_block' => 1,
14204
                    'is_gateway_port' => 1,
14205
                    'must_be_hdl_vector' => 1,
14206
                    'period' => 1,
14207
                    'port_id' => 0,
14208
                    'simulinkName' => 'INOUT_LOGIC/reg09_rv',
14209
                    'source_block' => 'INOUT_LOGIC',
14210
                    'timingConstraint' => 'none',
14211
                    'type' => 'UFix_1_0',
14212
                  },
14213
                  'direction' => 'out',
14214
                  'hdlType' => 'std_logic',
14215
                  'width' => 1,
14216
                },
14217
                'reg09_td' => {
14218
                  'attributes' => {
14219
                    'bin_pt' => 0,
14220
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_td.dat',
14221
                    'is_floating_block' => 1,
14222
                    'is_gateway_port' => 1,
14223
                    'must_be_hdl_vector' => 1,
14224
                    'period' => 1,
14225
                    'port_id' => 0,
14226
                    'simulinkName' => 'INOUT_LOGIC/reg09_td',
14227
                    'source_block' => 'INOUT_LOGIC',
14228
                    'timingConstraint' => 'none',
14229
                    'type' => 'UFix_32_0',
14230
                  },
14231
                  'direction' => 'in',
14232
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14233
                  'width' => 32,
14234
                },
14235
                'reg09_tv' => {
14236
                  'attributes' => {
14237
                    'bin_pt' => 0,
14238
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg09_tv.dat',
14239
                    'is_floating_block' => 1,
14240
                    'is_gateway_port' => 1,
14241
                    'must_be_hdl_vector' => 1,
14242
                    'period' => 1,
14243
                    'port_id' => 0,
14244
                    'simulinkName' => 'INOUT_LOGIC/reg09_tv',
14245
                    'source_block' => 'INOUT_LOGIC',
14246
                    'timingConstraint' => 'none',
14247
                    'type' => 'Bool',
14248
                  },
14249
                  'direction' => 'in',
14250
                  'hdlType' => 'std_logic',
14251
                  'width' => 1,
14252
                },
14253
                'reg10_rd' => {
14254
                  'attributes' => {
14255
                    'bin_pt' => 0,
14256
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rd.dat',
14257
                    'is_floating_block' => 1,
14258
                    'is_gateway_port' => 1,
14259
                    'must_be_hdl_vector' => 1,
14260
                    'period' => 1,
14261
                    'port_id' => 0,
14262
                    'simulinkName' => 'INOUT_LOGIC/reg10_rd',
14263
                    'source_block' => 'INOUT_LOGIC',
14264
                    'timingConstraint' => 'none',
14265
                    'type' => 'UFix_32_0',
14266
                  },
14267
                  'direction' => 'out',
14268
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14269
                  'width' => 32,
14270
                },
14271
                'reg10_rv' => {
14272
                  'attributes' => {
14273
                    'bin_pt' => 0,
14274
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_rv.dat',
14275
                    'is_floating_block' => 1,
14276
                    'is_gateway_port' => 1,
14277
                    'must_be_hdl_vector' => 1,
14278
                    'period' => 1,
14279
                    'port_id' => 0,
14280
                    'simulinkName' => 'INOUT_LOGIC/reg10_rv',
14281
                    'source_block' => 'INOUT_LOGIC',
14282
                    'timingConstraint' => 'none',
14283
                    'type' => 'UFix_1_0',
14284
                  },
14285
                  'direction' => 'out',
14286
                  'hdlType' => 'std_logic',
14287
                  'width' => 1,
14288
                },
14289
                'reg10_td' => {
14290
                  'attributes' => {
14291
                    'bin_pt' => 0,
14292
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_td.dat',
14293
                    'is_floating_block' => 1,
14294
                    'is_gateway_port' => 1,
14295
                    'must_be_hdl_vector' => 1,
14296
                    'period' => 1,
14297
                    'port_id' => 0,
14298
                    'simulinkName' => 'INOUT_LOGIC/reg10_td',
14299
                    'source_block' => 'INOUT_LOGIC',
14300
                    'timingConstraint' => 'none',
14301
                    'type' => 'UFix_32_0',
14302
                  },
14303
                  'direction' => 'in',
14304
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14305
                  'width' => 32,
14306
                },
14307
                'reg10_tv' => {
14308
                  'attributes' => {
14309
                    'bin_pt' => 0,
14310
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg10_tv.dat',
14311
                    'is_floating_block' => 1,
14312
                    'is_gateway_port' => 1,
14313
                    'must_be_hdl_vector' => 1,
14314
                    'period' => 1,
14315
                    'port_id' => 0,
14316
                    'simulinkName' => 'INOUT_LOGIC/reg10_tv',
14317
                    'source_block' => 'INOUT_LOGIC',
14318
                    'timingConstraint' => 'none',
14319
                    'type' => 'Bool',
14320
                  },
14321
                  'direction' => 'in',
14322
                  'hdlType' => 'std_logic',
14323
                  'width' => 1,
14324
                },
14325
                'reg11_rd' => {
14326
                  'attributes' => {
14327
                    'bin_pt' => 0,
14328
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rd.dat',
14329
                    'is_floating_block' => 1,
14330
                    'is_gateway_port' => 1,
14331
                    'must_be_hdl_vector' => 1,
14332
                    'period' => 1,
14333
                    'port_id' => 0,
14334
                    'simulinkName' => 'INOUT_LOGIC/reg11_rd',
14335
                    'source_block' => 'INOUT_LOGIC',
14336
                    'timingConstraint' => 'none',
14337
                    'type' => 'UFix_32_0',
14338
                  },
14339
                  'direction' => 'out',
14340
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14341
                  'width' => 32,
14342
                },
14343
                'reg11_rv' => {
14344
                  'attributes' => {
14345
                    'bin_pt' => 0,
14346
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_rv.dat',
14347
                    'is_floating_block' => 1,
14348
                    'is_gateway_port' => 1,
14349
                    'must_be_hdl_vector' => 1,
14350
                    'period' => 1,
14351
                    'port_id' => 0,
14352
                    'simulinkName' => 'INOUT_LOGIC/reg11_rv',
14353
                    'source_block' => 'INOUT_LOGIC',
14354
                    'timingConstraint' => 'none',
14355
                    'type' => 'UFix_1_0',
14356
                  },
14357
                  'direction' => 'out',
14358
                  'hdlType' => 'std_logic',
14359
                  'width' => 1,
14360
                },
14361
                'reg11_td' => {
14362
                  'attributes' => {
14363
                    'bin_pt' => 0,
14364
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_td.dat',
14365
                    'is_floating_block' => 1,
14366
                    'is_gateway_port' => 1,
14367
                    'must_be_hdl_vector' => 1,
14368
                    'period' => 1,
14369
                    'port_id' => 0,
14370
                    'simulinkName' => 'INOUT_LOGIC/reg11_td',
14371
                    'source_block' => 'INOUT_LOGIC',
14372
                    'timingConstraint' => 'none',
14373
                    'type' => 'UFix_32_0',
14374
                  },
14375
                  'direction' => 'in',
14376
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14377
                  'width' => 32,
14378
                },
14379
                'reg11_tv' => {
14380
                  'attributes' => {
14381
                    'bin_pt' => 0,
14382
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg11_tv.dat',
14383
                    'is_floating_block' => 1,
14384
                    'is_gateway_port' => 1,
14385
                    'must_be_hdl_vector' => 1,
14386
                    'period' => 1,
14387
                    'port_id' => 0,
14388
                    'simulinkName' => 'INOUT_LOGIC/reg11_tv',
14389
                    'source_block' => 'INOUT_LOGIC',
14390
                    'timingConstraint' => 'none',
14391
                    'type' => 'Bool',
14392
                  },
14393
                  'direction' => 'in',
14394
                  'hdlType' => 'std_logic',
14395
                  'width' => 1,
14396
                },
14397
                'reg12_rd' => {
14398
                  'attributes' => {
14399
                    'bin_pt' => 0,
14400
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rd.dat',
14401
                    'is_floating_block' => 1,
14402
                    'is_gateway_port' => 1,
14403
                    'must_be_hdl_vector' => 1,
14404
                    'period' => 1,
14405
                    'port_id' => 0,
14406
                    'simulinkName' => 'INOUT_LOGIC/reg12_rd',
14407
                    'source_block' => 'INOUT_LOGIC',
14408
                    'timingConstraint' => 'none',
14409
                    'type' => 'UFix_32_0',
14410
                  },
14411
                  'direction' => 'out',
14412
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14413
                  'width' => 32,
14414
                },
14415
                'reg12_rv' => {
14416
                  'attributes' => {
14417
                    'bin_pt' => 0,
14418
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_rv.dat',
14419
                    'is_floating_block' => 1,
14420
                    'is_gateway_port' => 1,
14421
                    'must_be_hdl_vector' => 1,
14422
                    'period' => 1,
14423
                    'port_id' => 0,
14424
                    'simulinkName' => 'INOUT_LOGIC/reg12_rv',
14425
                    'source_block' => 'INOUT_LOGIC',
14426
                    'timingConstraint' => 'none',
14427
                    'type' => 'UFix_1_0',
14428
                  },
14429
                  'direction' => 'out',
14430
                  'hdlType' => 'std_logic',
14431
                  'width' => 1,
14432
                },
14433
                'reg12_td' => {
14434
                  'attributes' => {
14435
                    'bin_pt' => 0,
14436
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_td.dat',
14437
                    'is_floating_block' => 1,
14438
                    'is_gateway_port' => 1,
14439
                    'must_be_hdl_vector' => 1,
14440
                    'period' => 1,
14441
                    'port_id' => 0,
14442
                    'simulinkName' => 'INOUT_LOGIC/reg12_td',
14443
                    'source_block' => 'INOUT_LOGIC',
14444
                    'timingConstraint' => 'none',
14445
                    'type' => 'UFix_32_0',
14446
                  },
14447
                  'direction' => 'in',
14448
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14449
                  'width' => 32,
14450
                },
14451
                'reg12_tv' => {
14452
                  'attributes' => {
14453
                    'bin_pt' => 0,
14454
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg12_tv.dat',
14455
                    'is_floating_block' => 1,
14456
                    'is_gateway_port' => 1,
14457
                    'must_be_hdl_vector' => 1,
14458
                    'period' => 1,
14459
                    'port_id' => 0,
14460
                    'simulinkName' => 'INOUT_LOGIC/reg12_tv',
14461
                    'source_block' => 'INOUT_LOGIC',
14462
                    'timingConstraint' => 'none',
14463
                    'type' => 'Bool',
14464
                  },
14465
                  'direction' => 'in',
14466
                  'hdlType' => 'std_logic',
14467
                  'width' => 1,
14468
                },
14469
                'reg13_rd' => {
14470
                  'attributes' => {
14471
                    'bin_pt' => 0,
14472
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rd.dat',
14473
                    'is_floating_block' => 1,
14474
                    'is_gateway_port' => 1,
14475
                    'must_be_hdl_vector' => 1,
14476
                    'period' => 1,
14477
                    'port_id' => 0,
14478
                    'simulinkName' => 'INOUT_LOGIC/reg13_rd',
14479
                    'source_block' => 'INOUT_LOGIC',
14480
                    'timingConstraint' => 'none',
14481
                    'type' => 'UFix_32_0',
14482
                  },
14483
                  'direction' => 'out',
14484
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14485
                  'width' => 32,
14486
                },
14487
                'reg13_rv' => {
14488
                  'attributes' => {
14489
                    'bin_pt' => 0,
14490
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_rv.dat',
14491
                    'is_floating_block' => 1,
14492
                    'is_gateway_port' => 1,
14493
                    'must_be_hdl_vector' => 1,
14494
                    'period' => 1,
14495
                    'port_id' => 0,
14496
                    'simulinkName' => 'INOUT_LOGIC/reg13_rv',
14497
                    'source_block' => 'INOUT_LOGIC',
14498
                    'timingConstraint' => 'none',
14499
                    'type' => 'UFix_1_0',
14500
                  },
14501
                  'direction' => 'out',
14502
                  'hdlType' => 'std_logic',
14503
                  'width' => 1,
14504
                },
14505
                'reg13_td' => {
14506
                  'attributes' => {
14507
                    'bin_pt' => 0,
14508
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_td.dat',
14509
                    'is_floating_block' => 1,
14510
                    'is_gateway_port' => 1,
14511
                    'must_be_hdl_vector' => 1,
14512
                    'period' => 1,
14513
                    'port_id' => 0,
14514
                    'simulinkName' => 'INOUT_LOGIC/reg13_td',
14515
                    'source_block' => 'INOUT_LOGIC',
14516
                    'timingConstraint' => 'none',
14517
                    'type' => 'UFix_32_0',
14518
                  },
14519
                  'direction' => 'in',
14520
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14521
                  'width' => 32,
14522
                },
14523
                'reg13_tv' => {
14524
                  'attributes' => {
14525
                    'bin_pt' => 0,
14526
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg13_tv.dat',
14527
                    'is_floating_block' => 1,
14528
                    'is_gateway_port' => 1,
14529
                    'must_be_hdl_vector' => 1,
14530
                    'period' => 1,
14531
                    'port_id' => 0,
14532
                    'simulinkName' => 'INOUT_LOGIC/reg13_tv',
14533
                    'source_block' => 'INOUT_LOGIC',
14534
                    'timingConstraint' => 'none',
14535
                    'type' => 'Bool',
14536
                  },
14537
                  'direction' => 'in',
14538
                  'hdlType' => 'std_logic',
14539
                  'width' => 1,
14540
                },
14541
                'reg14_rd' => {
14542
                  'attributes' => {
14543
                    'bin_pt' => 0,
14544
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rd.dat',
14545
                    'is_floating_block' => 1,
14546
                    'is_gateway_port' => 1,
14547
                    'must_be_hdl_vector' => 1,
14548
                    'period' => 1,
14549
                    'port_id' => 0,
14550
                    'simulinkName' => 'INOUT_LOGIC/reg14_rd',
14551
                    'source_block' => 'INOUT_LOGIC',
14552
                    'timingConstraint' => 'none',
14553
                    'type' => 'UFix_32_0',
14554
                  },
14555
                  'direction' => 'out',
14556
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14557
                  'width' => 32,
14558
                },
14559
                'reg14_rv' => {
14560
                  'attributes' => {
14561
                    'bin_pt' => 0,
14562
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_rv.dat',
14563
                    'is_floating_block' => 1,
14564
                    'is_gateway_port' => 1,
14565
                    'must_be_hdl_vector' => 1,
14566
                    'period' => 1,
14567
                    'port_id' => 0,
14568
                    'simulinkName' => 'INOUT_LOGIC/reg14_rv',
14569
                    'source_block' => 'INOUT_LOGIC',
14570
                    'timingConstraint' => 'none',
14571
                    'type' => 'UFix_1_0',
14572
                  },
14573
                  'direction' => 'out',
14574
                  'hdlType' => 'std_logic',
14575
                  'width' => 1,
14576
                },
14577
                'reg14_td' => {
14578
                  'attributes' => {
14579
                    'bin_pt' => 0,
14580
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_td.dat',
14581
                    'is_floating_block' => 1,
14582
                    'is_gateway_port' => 1,
14583
                    'must_be_hdl_vector' => 1,
14584
                    'period' => 1,
14585
                    'port_id' => 0,
14586
                    'simulinkName' => 'INOUT_LOGIC/reg14_td',
14587
                    'source_block' => 'INOUT_LOGIC',
14588
                    'timingConstraint' => 'none',
14589
                    'type' => 'UFix_32_0',
14590
                  },
14591
                  'direction' => 'in',
14592
                  'hdlType' => 'std_logic_vector(31 downto 0)',
14593
                  'width' => 32,
14594
                },
14595
                'reg14_tv' => {
14596
                  'attributes' => {
14597
                    'bin_pt' => 0,
14598
                    'inputFile' => 'pcie_userlogic_00_inout_logic_reg14_tv.dat',
14599
                    'is_floating_block' => 1,
14600
                    'is_gateway_port' => 1,
14601
                    'must_be_hdl_vector' => 1,
14602
                    'period' => 1,
14603
                    'port_id' => 0,
14604
                    'simulinkName' => 'INOUT_LOGIC/reg14_tv',
14605
                    'source_block' => 'INOUT_LOGIC',
14606
                    'timingConstraint' => 'none',
14607
                    'type' => 'Bool',
14608
                  },
14609
                  'direction' => 'in',
14610
                  'hdlType' => 'std_logic',
14611
                  'width' => 1,
14612
                },
14613
              },
14614
            },
14615
            'entityName' => 'inout_logic',
14616
          },
14617
          'persistentdff_inst' => {
14618
            'connections' => {
14619
              'clk' => 'clkNet',
14620
              'd' => 'persistentdff_inst_q',
14621
              'q' => 'persistentdff_inst_q',
14622
            },
14623
            'entity' => {
14624
              'attributes' => {
14625
                'entityAlreadyNetlisted' => 1,
14626
                'hdlCompAttributes' => [
14627
                  [
14628
                    'syn_black_box',
14629
                    'boolean',
14630
                    'true',
14631
                  ],
14632
                  [
14633
                    'box_type',
14634
                    'string',
14635
                    '"black_box"',
14636
                  ],
14637
                ],
14638
                'is_persistent_dff' => 1,
14639
                'needsComponentDeclaration' => 1,
14640
              },
14641
              'entityName' => 'xlpersistentdff',
14642
              'ports' => {
14643
                'clk' => {
14644
                  'direction' => 'in',
14645
                  'hdlType' => 'std_logic',
14646
                  'width' => 1,
14647
                },
14648
                'd' => {
14649
                  'direction' => 'in',
14650
                  'hdlType' => 'std_logic',
14651
                  'width' => 1,
14652
                },
14653
                'q' => {
14654
                  'direction' => 'out',
14655
                  'hdlType' => 'std_logic',
14656
                  'width' => 1,
14657
                },
14658
              },
14659
            },
14660
            'entityName' => 'xlpersistentdff',
14661
          },
14662
        },
14663
      },
14664
      'entityName' => 'inout_logic_cw',
14665
    },
14666
    'sysgen_gw_clk' => {
14667
      'connections' => {
14668
        'clk' => '.clk',
14669
      },
14670
      'entity' => {
14671
        'attributes' => {
14672
          'entityAlreadyNetlisted' => 1,
14673
          'isClk' => 1,
14674
          'isGateway' => 1,
14675
          'is_floating_block' => 1,
14676
        },
14677
        'entityName' => 'sysgen_gw_clk',
14678
        'ports' => {
14679
          'clk' => {
14680
            'attributes' => {
14681
              'isClk' => 1,
14682
            },
14683
            'direction' => 'out',
14684
            'hdlType' => 'std_logic',
14685
            'width' => 1,
14686
          },
14687
        },
14688
      },
14689
      'entityName' => 'sysgen_gw_clk',
14690
    },
14691
    'to_register1' => {
14692
      'connections' => {
14693
        'ce' => 'sysgen_dut.to_register1_ce',
14694
        'clk' => 'sysgen_dut.to_register1_clk',
14695
        'clr' => 'sysgen_dut.to_register1_clr',
14696
        'data_in' => 'sysgen_dut.to_register1_data_in',
14697
        'dout' => 'to_register1.dout',
14698
        'en' => 'sysgen_dut.to_register1_en',
14699
      },
14700
      'entity' => {
14701
        'attributes' => {
14702
          'entityAlreadyNetlisted' => 1,
14703
          'generics' => [
14704
          ],
14705
          'is_floating_block' => 1,
14706
          'mask' => {
14707
            'Block_Handle' => 2118.00048828125,
14708
            'Block_handle' => 2118.00048828125,
14709
            'MDL_Handle' => 2083.00048828125,
14710
            'MDL_handle' => 2083.00048828125,
14711
            'arith_type' => 1,
14712
            'bin_pt' => 14,
14713
            'block_config' => 'sysgen_blockset:toreg_config',
14714
            'block_handle' => 2118.00048828125,
14715
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
14716
            'block_type' => 'toreg',
14717
            'dbl_ovrd' => 0,
14718
            'explicit_data_type' => 0,
14719
            'gui_display_data_type' => 1,
14720
            'init' => 0,
14721
            'init_bit_vector' => '\'b00000000000000000000000000000000',
14722
            'mdl_handle' => 2083.00048828125,
14723
            'model_handle' => 2083.00048828125,
14724
            'n_bits' => 16,
14725
            'ownership' => 1,
14726
            'preci_type' => 1,
14727
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
14728
            'shared_memory_name' => 'debug2i',
14729
          },
14730
          'needs_vhdl_wrapper' => 0,
14731
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1',
14732
        },
14733
        'entityName' => 'x',
14734
        'ports' => {
14735
          'ce' => {
14736
            'attributes' => {
14737
              'domain' => '',
14738
              'group' => 1,
14739
              'isCe' => 1,
14740
              'is_floating_block' => 1,
14741
              'period' => 1,
14742
              'type' => 'logic',
14743
            },
14744
            'direction' => 'in',
14745
            'hdlType' => 'std_logic',
14746
            'width' => 1,
14747
          },
14748
          'clk' => {
14749
            'attributes' => {
14750
              'domain' => '',
14751
              'group' => 1,
14752
              'isClk' => 1,
14753
              'is_floating_block' => 1,
14754
              'period' => 1,
14755
              'type' => 'logic',
14756
            },
14757
            'direction' => 'in',
14758
            'hdlType' => 'std_logic',
14759
            'width' => 1,
14760
          },
14761
          'clr' => {
14762
            'attributes' => {
14763
              'domain' => '',
14764
              'group' => 1,
14765
              'isClr' => 1,
14766
              'is_floating_block' => 1,
14767
              'period' => 1,
14768
              'type' => 'logic',
14769
              'valid_bit_used' => 0,
14770
            },
14771
            'direction' => 'in',
14772
            'hdlType' => 'std_logic',
14773
            'width' => 1,
14774
          },
14775
          'data_in' => {
14776
            'attributes' => {
14777
              'bin_pt' => 0,
14778
              'is_floating_block' => 1,
14779
              'must_be_hdl_vector' => 1,
14780
              'period' => 1,
14781
              'port_id' => 0,
14782
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/data_in',
14783
              'type' => 'UFix_32_0',
14784
            },
14785
            'direction' => 'in',
14786
            'hdlType' => 'std_logic_vector(31 downto 0)',
14787
            'width' => 32,
14788
          },
14789
          'dout' => {
14790
            'attributes' => {
14791
              'bin_pt' => 0,
14792
              'is_floating_block' => 1,
14793
              'must_be_hdl_vector' => 1,
14794
              'period' => 1,
14795
              'port_id' => 0,
14796
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/dout',
14797
              'type' => 'UFix_32_0',
14798
            },
14799
            'direction' => 'out',
14800
            'hdlType' => 'std_logic_vector(31 downto 0)',
14801
            'width' => 32,
14802
          },
14803
          'en' => {
14804
            'attributes' => {
14805
              'bin_pt' => 0,
14806
              'is_floating_block' => 1,
14807
              'must_be_hdl_vector' => 1,
14808
              'period' => 1,
14809
              'port_id' => 1,
14810
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register1/en',
14811
              'type' => 'Bool',
14812
            },
14813
            'direction' => 'in',
14814
            'hdlType' => 'std_logic_vector(0 downto 0)',
14815
            'width' => 1,
14816
          },
14817
        },
14818
      },
14819
      'entityName' => 'x',
14820
    },
14821
    'to_register10' => {
14822
      'connections' => {
14823
        'ce' => 'sysgen_dut.to_register10_ce',
14824
        'clk' => 'sysgen_dut.to_register10_clk',
14825
        'clr' => 'sysgen_dut.to_register10_clr',
14826
        'data_in' => 'sysgen_dut.to_register10_data_in',
14827
        'dout' => 'to_register10.dout',
14828
        'en' => 'sysgen_dut.to_register10_en',
14829
      },
14830
      'entity' => {
14831
        'attributes' => {
14832
          'entityAlreadyNetlisted' => 1,
14833
          'generics' => [
14834
          ],
14835
          'is_floating_block' => 1,
14836
          'mask' => {
14837
            'Block_Handle' => 2119.00048828125,
14838
            'Block_handle' => 2119.00048828125,
14839
            'MDL_Handle' => 2083.00048828125,
14840
            'MDL_handle' => 2083.00048828125,
14841
            'arith_type' => 1,
14842
            'bin_pt' => 14,
14843
            'block_config' => 'sysgen_blockset:toreg_config',
14844
            'block_handle' => 2119.00048828125,
14845
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
14846
            'block_type' => 'toreg',
14847
            'dbl_ovrd' => 0,
14848
            'explicit_data_type' => 0,
14849
            'gui_display_data_type' => 1,
14850
            'init' => 0,
14851
            'init_bit_vector' => '\'b0',
14852
            'mdl_handle' => 2083.00048828125,
14853
            'model_handle' => 2083.00048828125,
14854
            'n_bits' => 16,
14855
            'ownership' => 1,
14856
            'preci_type' => 1,
14857
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
14858
            'shared_memory_name' => 'register04tv',
14859
          },
14860
          'needs_vhdl_wrapper' => 0,
14861
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10',
14862
        },
14863
        'entityName' => 'x_x0',
14864
        'ports' => {
14865
          'ce' => {
14866
            'attributes' => {
14867
              'domain' => '',
14868
              'group' => 1,
14869
              'isCe' => 1,
14870
              'is_floating_block' => 1,
14871
              'period' => 1,
14872
              'type' => 'logic',
14873
            },
14874
            'direction' => 'in',
14875
            'hdlType' => 'std_logic',
14876
            'width' => 1,
14877
          },
14878
          'clk' => {
14879
            'attributes' => {
14880
              'domain' => '',
14881
              'group' => 1,
14882
              'isClk' => 1,
14883
              'is_floating_block' => 1,
14884
              'period' => 1,
14885
              'type' => 'logic',
14886
            },
14887
            'direction' => 'in',
14888
            'hdlType' => 'std_logic',
14889
            'width' => 1,
14890
          },
14891
          'clr' => {
14892
            'attributes' => {
14893
              'domain' => '',
14894
              'group' => 1,
14895
              'isClr' => 1,
14896
              'is_floating_block' => 1,
14897
              'period' => 1,
14898
              'type' => 'logic',
14899
              'valid_bit_used' => 0,
14900
            },
14901
            'direction' => 'in',
14902
            'hdlType' => 'std_logic',
14903
            'width' => 1,
14904
          },
14905
          'data_in' => {
14906
            'attributes' => {
14907
              'bin_pt' => 0,
14908
              'is_floating_block' => 1,
14909
              'must_be_hdl_vector' => 1,
14910
              'period' => 1,
14911
              'port_id' => 0,
14912
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/data_in',
14913
              'type' => 'Bool',
14914
            },
14915
            'direction' => 'in',
14916
            'hdlType' => 'std_logic_vector(0 downto 0)',
14917
            'width' => 1,
14918
          },
14919
          'dout' => {
14920
            'attributes' => {
14921
              'bin_pt' => 0,
14922
              'is_floating_block' => 1,
14923
              'must_be_hdl_vector' => 1,
14924
              'period' => 1,
14925
              'port_id' => 0,
14926
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/dout',
14927
              'type' => 'Bool',
14928
            },
14929
            'direction' => 'out',
14930
            'hdlType' => 'std_logic_vector(0 downto 0)',
14931
            'width' => 1,
14932
          },
14933
          'en' => {
14934
            'attributes' => {
14935
              'bin_pt' => 0,
14936
              'is_floating_block' => 1,
14937
              'must_be_hdl_vector' => 1,
14938
              'period' => 1,
14939
              'port_id' => 1,
14940
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register10/en',
14941
              'type' => 'Bool',
14942
            },
14943
            'direction' => 'in',
14944
            'hdlType' => 'std_logic_vector(0 downto 0)',
14945
            'width' => 1,
14946
          },
14947
        },
14948
      },
14949
      'entityName' => 'x_x0',
14950
    },
14951
    'to_register11' => {
14952
      'connections' => {
14953
        'ce' => 'sysgen_dut.to_register11_ce',
14954
        'clk' => 'sysgen_dut.to_register11_clk',
14955
        'clr' => 'sysgen_dut.to_register11_clr',
14956
        'data_in' => 'sysgen_dut.to_register11_data_in',
14957
        'dout' => 'to_register11.dout',
14958
        'en' => 'sysgen_dut.to_register11_en',
14959
      },
14960
      'entity' => {
14961
        'attributes' => {
14962
          'entityAlreadyNetlisted' => 1,
14963
          'generics' => [
14964
          ],
14965
          'is_floating_block' => 1,
14966
          'mask' => {
14967
            'Block_Handle' => 2120.00048828125,
14968
            'Block_handle' => 2120.00048828125,
14969
            'MDL_Handle' => 2083.00048828125,
14970
            'MDL_handle' => 2083.00048828125,
14971
            'arith_type' => 1,
14972
            'bin_pt' => 14,
14973
            'block_config' => 'sysgen_blockset:toreg_config',
14974
            'block_handle' => 2120.00048828125,
14975
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
14976
            'block_type' => 'toreg',
14977
            'dbl_ovrd' => 0,
14978
            'explicit_data_type' => 0,
14979
            'gui_display_data_type' => 1,
14980
            'init' => 0,
14981
            'init_bit_vector' => '\'b00000000000000000000000000000000',
14982
            'mdl_handle' => 2083.00048828125,
14983
            'model_handle' => 2083.00048828125,
14984
            'n_bits' => 16,
14985
            'ownership' => 1,
14986
            'preci_type' => 1,
14987
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
14988
            'shared_memory_name' => 'register04td',
14989
          },
14990
          'needs_vhdl_wrapper' => 0,
14991
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11',
14992
        },
14993
        'entityName' => 'x_x1',
14994
        'ports' => {
14995
          'ce' => {
14996
            'attributes' => {
14997
              'domain' => '',
14998
              'group' => 1,
14999
              'isCe' => 1,
15000
              'is_floating_block' => 1,
15001
              'period' => 1,
15002
              'type' => 'logic',
15003
            },
15004
            'direction' => 'in',
15005
            'hdlType' => 'std_logic',
15006
            'width' => 1,
15007
          },
15008
          'clk' => {
15009
            'attributes' => {
15010
              'domain' => '',
15011
              'group' => 1,
15012
              'isClk' => 1,
15013
              'is_floating_block' => 1,
15014
              'period' => 1,
15015
              'type' => 'logic',
15016
            },
15017
            'direction' => 'in',
15018
            'hdlType' => 'std_logic',
15019
            'width' => 1,
15020
          },
15021
          'clr' => {
15022
            'attributes' => {
15023
              'domain' => '',
15024
              'group' => 1,
15025
              'isClr' => 1,
15026
              'is_floating_block' => 1,
15027
              'period' => 1,
15028
              'type' => 'logic',
15029
              'valid_bit_used' => 0,
15030
            },
15031
            'direction' => 'in',
15032
            'hdlType' => 'std_logic',
15033
            'width' => 1,
15034
          },
15035
          'data_in' => {
15036
            'attributes' => {
15037
              'bin_pt' => 0,
15038
              'is_floating_block' => 1,
15039
              'must_be_hdl_vector' => 1,
15040
              'period' => 1,
15041
              'port_id' => 0,
15042
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/data_in',
15043
              'type' => 'UFix_32_0',
15044
            },
15045
            'direction' => 'in',
15046
            'hdlType' => 'std_logic_vector(31 downto 0)',
15047
            'width' => 32,
15048
          },
15049
          'dout' => {
15050
            'attributes' => {
15051
              'bin_pt' => 0,
15052
              'is_floating_block' => 1,
15053
              'must_be_hdl_vector' => 1,
15054
              'period' => 1,
15055
              'port_id' => 0,
15056
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/dout',
15057
              'type' => 'UFix_32_0',
15058
            },
15059
            'direction' => 'out',
15060
            'hdlType' => 'std_logic_vector(31 downto 0)',
15061
            'width' => 32,
15062
          },
15063
          'en' => {
15064
            'attributes' => {
15065
              'bin_pt' => 0,
15066
              'is_floating_block' => 1,
15067
              'must_be_hdl_vector' => 1,
15068
              'period' => 1,
15069
              'port_id' => 1,
15070
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register11/en',
15071
              'type' => 'Bool',
15072
            },
15073
            'direction' => 'in',
15074
            'hdlType' => 'std_logic_vector(0 downto 0)',
15075
            'width' => 1,
15076
          },
15077
        },
15078
      },
15079
      'entityName' => 'x_x1',
15080
    },
15081
    'to_register12' => {
15082
      'connections' => {
15083
        'ce' => 'sysgen_dut.to_register12_ce',
15084
        'clk' => 'sysgen_dut.to_register12_clk',
15085
        'clr' => 'sysgen_dut.to_register12_clr',
15086
        'data_in' => 'sysgen_dut.to_register12_data_in',
15087
        'dout' => 'to_register12.dout',
15088
        'en' => 'sysgen_dut.to_register12_en',
15089
      },
15090
      'entity' => {
15091
        'attributes' => {
15092
          'entityAlreadyNetlisted' => 1,
15093
          'generics' => [
15094
          ],
15095
          'is_floating_block' => 1,
15096
          'mask' => {
15097
            'Block_Handle' => 2121.00048828125,
15098
            'Block_handle' => 2121.00048828125,
15099
            'MDL_Handle' => 2083.00048828125,
15100
            'MDL_handle' => 2083.00048828125,
15101
            'arith_type' => 1,
15102
            'bin_pt' => 14,
15103
            'block_config' => 'sysgen_blockset:toreg_config',
15104
            'block_handle' => 2121.00048828125,
15105
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
15106
            'block_type' => 'toreg',
15107
            'dbl_ovrd' => 0,
15108
            'explicit_data_type' => 0,
15109
            'gui_display_data_type' => 1,
15110
            'init' => 0,
15111
            'init_bit_vector' => '\'b0',
15112
            'mdl_handle' => 2083.00048828125,
15113
            'model_handle' => 2083.00048828125,
15114
            'n_bits' => 16,
15115
            'ownership' => 1,
15116
            'preci_type' => 1,
15117
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
15118
            'shared_memory_name' => 'register05tv',
15119
          },
15120
          'needs_vhdl_wrapper' => 0,
15121
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12',
15122
        },
15123
        'entityName' => 'x_x2',
15124
        'ports' => {
15125
          'ce' => {
15126
            'attributes' => {
15127
              'domain' => '',
15128
              'group' => 1,
15129
              'isCe' => 1,
15130
              'is_floating_block' => 1,
15131
              'period' => 1,
15132
              'type' => 'logic',
15133
            },
15134
            'direction' => 'in',
15135
            'hdlType' => 'std_logic',
15136
            'width' => 1,
15137
          },
15138
          'clk' => {
15139
            'attributes' => {
15140
              'domain' => '',
15141
              'group' => 1,
15142
              'isClk' => 1,
15143
              'is_floating_block' => 1,
15144
              'period' => 1,
15145
              'type' => 'logic',
15146
            },
15147
            'direction' => 'in',
15148
            'hdlType' => 'std_logic',
15149
            'width' => 1,
15150
          },
15151
          'clr' => {
15152
            'attributes' => {
15153
              'domain' => '',
15154
              'group' => 1,
15155
              'isClr' => 1,
15156
              'is_floating_block' => 1,
15157
              'period' => 1,
15158
              'type' => 'logic',
15159
              'valid_bit_used' => 0,
15160
            },
15161
            'direction' => 'in',
15162
            'hdlType' => 'std_logic',
15163
            'width' => 1,
15164
          },
15165
          'data_in' => {
15166
            'attributes' => {
15167
              'bin_pt' => 0,
15168
              'is_floating_block' => 1,
15169
              'must_be_hdl_vector' => 1,
15170
              'period' => 1,
15171
              'port_id' => 0,
15172
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/data_in',
15173
              'type' => 'Bool',
15174
            },
15175
            'direction' => 'in',
15176
            'hdlType' => 'std_logic_vector(0 downto 0)',
15177
            'width' => 1,
15178
          },
15179
          'dout' => {
15180
            'attributes' => {
15181
              'bin_pt' => 0,
15182
              'is_floating_block' => 1,
15183
              'must_be_hdl_vector' => 1,
15184
              'period' => 1,
15185
              'port_id' => 0,
15186
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/dout',
15187
              'type' => 'Bool',
15188
            },
15189
            'direction' => 'out',
15190
            'hdlType' => 'std_logic_vector(0 downto 0)',
15191
            'width' => 1,
15192
          },
15193
          'en' => {
15194
            'attributes' => {
15195
              'bin_pt' => 0,
15196
              'is_floating_block' => 1,
15197
              'must_be_hdl_vector' => 1,
15198
              'period' => 1,
15199
              'port_id' => 1,
15200
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register12/en',
15201
              'type' => 'Bool',
15202
            },
15203
            'direction' => 'in',
15204
            'hdlType' => 'std_logic_vector(0 downto 0)',
15205
            'width' => 1,
15206
          },
15207
        },
15208
      },
15209
      'entityName' => 'x_x2',
15210
    },
15211
    'to_register13' => {
15212
      'connections' => {
15213
        'ce' => 'sysgen_dut.to_register13_ce',
15214
        'clk' => 'sysgen_dut.to_register13_clk',
15215
        'clr' => 'sysgen_dut.to_register13_clr',
15216
        'data_in' => 'sysgen_dut.to_register13_data_in',
15217
        'dout' => 'to_register13.dout',
15218
        'en' => 'sysgen_dut.to_register13_en',
15219
      },
15220
      'entity' => {
15221
        'attributes' => {
15222
          'entityAlreadyNetlisted' => 1,
15223
          'generics' => [
15224
          ],
15225
          'is_floating_block' => 1,
15226
          'mask' => {
15227
            'Block_Handle' => 2122.00048828125,
15228
            'Block_handle' => 2122.00048828125,
15229
            'MDL_Handle' => 2083.00048828125,
15230
            'MDL_handle' => 2083.00048828125,
15231
            'arith_type' => 1,
15232
            'bin_pt' => 14,
15233
            'block_config' => 'sysgen_blockset:toreg_config',
15234
            'block_handle' => 2122.00048828125,
15235
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
15236
            'block_type' => 'toreg',
15237
            'dbl_ovrd' => 0,
15238
            'explicit_data_type' => 0,
15239
            'gui_display_data_type' => 1,
15240
            'init' => 0,
15241
            'init_bit_vector' => '\'b00000000000000000000000000000000',
15242
            'mdl_handle' => 2083.00048828125,
15243
            'model_handle' => 2083.00048828125,
15244
            'n_bits' => 16,
15245
            'ownership' => 1,
15246
            'preci_type' => 1,
15247
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
15248
            'shared_memory_name' => 'register05td',
15249
          },
15250
          'needs_vhdl_wrapper' => 0,
15251
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13',
15252
        },
15253
        'entityName' => 'x_x3',
15254
        'ports' => {
15255
          'ce' => {
15256
            'attributes' => {
15257
              'domain' => '',
15258
              'group' => 1,
15259
              'isCe' => 1,
15260
              'is_floating_block' => 1,
15261
              'period' => 1,
15262
              'type' => 'logic',
15263
            },
15264
            'direction' => 'in',
15265
            'hdlType' => 'std_logic',
15266
            'width' => 1,
15267
          },
15268
          'clk' => {
15269
            'attributes' => {
15270
              'domain' => '',
15271
              'group' => 1,
15272
              'isClk' => 1,
15273
              'is_floating_block' => 1,
15274
              'period' => 1,
15275
              'type' => 'logic',
15276
            },
15277
            'direction' => 'in',
15278
            'hdlType' => 'std_logic',
15279
            'width' => 1,
15280
          },
15281
          'clr' => {
15282
            'attributes' => {
15283
              'domain' => '',
15284
              'group' => 1,
15285
              'isClr' => 1,
15286
              'is_floating_block' => 1,
15287
              'period' => 1,
15288
              'type' => 'logic',
15289
              'valid_bit_used' => 0,
15290
            },
15291
            'direction' => 'in',
15292
            'hdlType' => 'std_logic',
15293
            'width' => 1,
15294
          },
15295
          'data_in' => {
15296
            'attributes' => {
15297
              'bin_pt' => 0,
15298
              'is_floating_block' => 1,
15299
              'must_be_hdl_vector' => 1,
15300
              'period' => 1,
15301
              'port_id' => 0,
15302
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/data_in',
15303
              'type' => 'UFix_32_0',
15304
            },
15305
            'direction' => 'in',
15306
            'hdlType' => 'std_logic_vector(31 downto 0)',
15307
            'width' => 32,
15308
          },
15309
          'dout' => {
15310
            'attributes' => {
15311
              'bin_pt' => 0,
15312
              'is_floating_block' => 1,
15313
              'must_be_hdl_vector' => 1,
15314
              'period' => 1,
15315
              'port_id' => 0,
15316
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/dout',
15317
              'type' => 'UFix_32_0',
15318
            },
15319
            'direction' => 'out',
15320
            'hdlType' => 'std_logic_vector(31 downto 0)',
15321
            'width' => 32,
15322
          },
15323
          'en' => {
15324
            'attributes' => {
15325
              'bin_pt' => 0,
15326
              'is_floating_block' => 1,
15327
              'must_be_hdl_vector' => 1,
15328
              'period' => 1,
15329
              'port_id' => 1,
15330
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register13/en',
15331
              'type' => 'Bool',
15332
            },
15333
            'direction' => 'in',
15334
            'hdlType' => 'std_logic_vector(0 downto 0)',
15335
            'width' => 1,
15336
          },
15337
        },
15338
      },
15339
      'entityName' => 'x_x3',
15340
    },
15341
    'to_register14' => {
15342
      'connections' => {
15343
        'ce' => 'sysgen_dut.to_register14_ce',
15344
        'clk' => 'sysgen_dut.to_register14_clk',
15345
        'clr' => 'sysgen_dut.to_register14_clr',
15346
        'data_in' => 'sysgen_dut.to_register14_data_in',
15347
        'dout' => 'to_register14.dout',
15348
        'en' => 'sysgen_dut.to_register14_en',
15349
      },
15350
      'entity' => {
15351
        'attributes' => {
15352
          'entityAlreadyNetlisted' => 1,
15353
          'generics' => [
15354
          ],
15355
          'is_floating_block' => 1,
15356
          'mask' => {
15357
            'Block_Handle' => 2123.00048828125,
15358
            'Block_handle' => 2123.00048828125,
15359
            'MDL_Handle' => 2083.00048828125,
15360
            'MDL_handle' => 2083.00048828125,
15361
            'arith_type' => 1,
15362
            'bin_pt' => 14,
15363
            'block_config' => 'sysgen_blockset:toreg_config',
15364
            'block_handle' => 2123.00048828125,
15365
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
15366
            'block_type' => 'toreg',
15367
            'dbl_ovrd' => 0,
15368
            'explicit_data_type' => 0,
15369
            'gui_display_data_type' => 1,
15370
            'init' => 0,
15371
            'init_bit_vector' => '\'b0',
15372
            'mdl_handle' => 2083.00048828125,
15373
            'model_handle' => 2083.00048828125,
15374
            'n_bits' => 16,
15375
            'ownership' => 1,
15376
            'preci_type' => 1,
15377
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
15378
            'shared_memory_name' => 'register06tv',
15379
          },
15380
          'needs_vhdl_wrapper' => 0,
15381
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14',
15382
        },
15383
        'entityName' => 'x_x4',
15384
        'ports' => {
15385
          'ce' => {
15386
            'attributes' => {
15387
              'domain' => '',
15388
              'group' => 1,
15389
              'isCe' => 1,
15390
              'is_floating_block' => 1,
15391
              'period' => 1,
15392
              'type' => 'logic',
15393
            },
15394
            'direction' => 'in',
15395
            'hdlType' => 'std_logic',
15396
            'width' => 1,
15397
          },
15398
          'clk' => {
15399
            'attributes' => {
15400
              'domain' => '',
15401
              'group' => 1,
15402
              'isClk' => 1,
15403
              'is_floating_block' => 1,
15404
              'period' => 1,
15405
              'type' => 'logic',
15406
            },
15407
            'direction' => 'in',
15408
            'hdlType' => 'std_logic',
15409
            'width' => 1,
15410
          },
15411
          'clr' => {
15412
            'attributes' => {
15413
              'domain' => '',
15414
              'group' => 1,
15415
              'isClr' => 1,
15416
              'is_floating_block' => 1,
15417
              'period' => 1,
15418
              'type' => 'logic',
15419
              'valid_bit_used' => 0,
15420
            },
15421
            'direction' => 'in',
15422
            'hdlType' => 'std_logic',
15423
            'width' => 1,
15424
          },
15425
          'data_in' => {
15426
            'attributes' => {
15427
              'bin_pt' => 0,
15428
              'is_floating_block' => 1,
15429
              'must_be_hdl_vector' => 1,
15430
              'period' => 1,
15431
              'port_id' => 0,
15432
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/data_in',
15433
              'type' => 'Bool',
15434
            },
15435
            'direction' => 'in',
15436
            'hdlType' => 'std_logic_vector(0 downto 0)',
15437
            'width' => 1,
15438
          },
15439
          'dout' => {
15440
            'attributes' => {
15441
              'bin_pt' => 0,
15442
              'is_floating_block' => 1,
15443
              'must_be_hdl_vector' => 1,
15444
              'period' => 1,
15445
              'port_id' => 0,
15446
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/dout',
15447
              'type' => 'Bool',
15448
            },
15449
            'direction' => 'out',
15450
            'hdlType' => 'std_logic_vector(0 downto 0)',
15451
            'width' => 1,
15452
          },
15453
          'en' => {
15454
            'attributes' => {
15455
              'bin_pt' => 0,
15456
              'is_floating_block' => 1,
15457
              'must_be_hdl_vector' => 1,
15458
              'period' => 1,
15459
              'port_id' => 1,
15460
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register14/en',
15461
              'type' => 'Bool',
15462
            },
15463
            'direction' => 'in',
15464
            'hdlType' => 'std_logic_vector(0 downto 0)',
15465
            'width' => 1,
15466
          },
15467
        },
15468
      },
15469
      'entityName' => 'x_x4',
15470
    },
15471
    'to_register15' => {
15472
      'connections' => {
15473
        'ce' => 'sysgen_dut.to_register15_ce',
15474
        'clk' => 'sysgen_dut.to_register15_clk',
15475
        'clr' => 'sysgen_dut.to_register15_clr',
15476
        'data_in' => 'sysgen_dut.to_register15_data_in',
15477
        'dout' => 'to_register15.dout',
15478
        'en' => 'sysgen_dut.to_register15_en',
15479
      },
15480
      'entity' => {
15481
        'attributes' => {
15482
          'entityAlreadyNetlisted' => 1,
15483
          'generics' => [
15484
          ],
15485
          'is_floating_block' => 1,
15486
          'mask' => {
15487
            'Block_Handle' => 2124.00048828125,
15488
            'Block_handle' => 2124.00048828125,
15489
            'MDL_Handle' => 2083.00048828125,
15490
            'MDL_handle' => 2083.00048828125,
15491
            'arith_type' => 1,
15492
            'bin_pt' => 14,
15493
            'block_config' => 'sysgen_blockset:toreg_config',
15494
            'block_handle' => 2124.00048828125,
15495
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
15496
            'block_type' => 'toreg',
15497
            'dbl_ovrd' => 0,
15498
            'explicit_data_type' => 0,
15499
            'gui_display_data_type' => 1,
15500
            'init' => 0,
15501
            'init_bit_vector' => '\'b00000000000000000000000000000000',
15502
            'mdl_handle' => 2083.00048828125,
15503
            'model_handle' => 2083.00048828125,
15504
            'n_bits' => 16,
15505
            'ownership' => 1,
15506
            'preci_type' => 1,
15507
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
15508
            'shared_memory_name' => 'register06td',
15509
          },
15510
          'needs_vhdl_wrapper' => 0,
15511
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15',
15512
        },
15513
        'entityName' => 'x_x5',
15514
        'ports' => {
15515
          'ce' => {
15516
            'attributes' => {
15517
              'domain' => '',
15518
              'group' => 1,
15519
              'isCe' => 1,
15520
              'is_floating_block' => 1,
15521
              'period' => 1,
15522
              'type' => 'logic',
15523
            },
15524
            'direction' => 'in',
15525
            'hdlType' => 'std_logic',
15526
            'width' => 1,
15527
          },
15528
          'clk' => {
15529
            'attributes' => {
15530
              'domain' => '',
15531
              'group' => 1,
15532
              'isClk' => 1,
15533
              'is_floating_block' => 1,
15534
              'period' => 1,
15535
              'type' => 'logic',
15536
            },
15537
            'direction' => 'in',
15538
            'hdlType' => 'std_logic',
15539
            'width' => 1,
15540
          },
15541
          'clr' => {
15542
            'attributes' => {
15543
              'domain' => '',
15544
              'group' => 1,
15545
              'isClr' => 1,
15546
              'is_floating_block' => 1,
15547
              'period' => 1,
15548
              'type' => 'logic',
15549
              'valid_bit_used' => 0,
15550
            },
15551
            'direction' => 'in',
15552
            'hdlType' => 'std_logic',
15553
            'width' => 1,
15554
          },
15555
          'data_in' => {
15556
            'attributes' => {
15557
              'bin_pt' => 0,
15558
              'is_floating_block' => 1,
15559
              'must_be_hdl_vector' => 1,
15560
              'period' => 1,
15561
              'port_id' => 0,
15562
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/data_in',
15563
              'type' => 'UFix_32_0',
15564
            },
15565
            'direction' => 'in',
15566
            'hdlType' => 'std_logic_vector(31 downto 0)',
15567
            'width' => 32,
15568
          },
15569
          'dout' => {
15570
            'attributes' => {
15571
              'bin_pt' => 0,
15572
              'is_floating_block' => 1,
15573
              'must_be_hdl_vector' => 1,
15574
              'period' => 1,
15575
              'port_id' => 0,
15576
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/dout',
15577
              'type' => 'UFix_32_0',
15578
            },
15579
            'direction' => 'out',
15580
            'hdlType' => 'std_logic_vector(31 downto 0)',
15581
            'width' => 32,
15582
          },
15583
          'en' => {
15584
            'attributes' => {
15585
              'bin_pt' => 0,
15586
              'is_floating_block' => 1,
15587
              'must_be_hdl_vector' => 1,
15588
              'period' => 1,
15589
              'port_id' => 1,
15590
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register15/en',
15591
              'type' => 'Bool',
15592
            },
15593
            'direction' => 'in',
15594
            'hdlType' => 'std_logic_vector(0 downto 0)',
15595
            'width' => 1,
15596
          },
15597
        },
15598
      },
15599
      'entityName' => 'x_x5',
15600
    },
15601
    'to_register16' => {
15602
      'connections' => {
15603
        'ce' => 'sysgen_dut.to_register16_ce',
15604
        'clk' => 'sysgen_dut.to_register16_clk',
15605
        'clr' => 'sysgen_dut.to_register16_clr',
15606
        'data_in' => 'sysgen_dut.to_register16_data_in',
15607
        'dout' => 'to_register16.dout',
15608
        'en' => 'sysgen_dut.to_register16_en',
15609
      },
15610
      'entity' => {
15611
        'attributes' => {
15612
          'entityAlreadyNetlisted' => 1,
15613
          'generics' => [
15614
          ],
15615
          'is_floating_block' => 1,
15616
          'mask' => {
15617
            'Block_Handle' => 2125.00048828125,
15618
            'Block_handle' => 2125.00048828125,
15619
            'MDL_Handle' => 2083.00048828125,
15620
            'MDL_handle' => 2083.00048828125,
15621
            'arith_type' => 1,
15622
            'bin_pt' => 14,
15623
            'block_config' => 'sysgen_blockset:toreg_config',
15624
            'block_handle' => 2125.00048828125,
15625
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
15626
            'block_type' => 'toreg',
15627
            'dbl_ovrd' => 0,
15628
            'explicit_data_type' => 0,
15629
            'gui_display_data_type' => 1,
15630
            'init' => 0,
15631
            'init_bit_vector' => '\'b0',
15632
            'mdl_handle' => 2083.00048828125,
15633
            'model_handle' => 2083.00048828125,
15634
            'n_bits' => 16,
15635
            'ownership' => 1,
15636
            'preci_type' => 1,
15637
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
15638
            'shared_memory_name' => 'register07tv',
15639
          },
15640
          'needs_vhdl_wrapper' => 0,
15641
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16',
15642
        },
15643
        'entityName' => 'x_x6',
15644
        'ports' => {
15645
          'ce' => {
15646
            'attributes' => {
15647
              'domain' => '',
15648
              'group' => 1,
15649
              'isCe' => 1,
15650
              'is_floating_block' => 1,
15651
              'period' => 1,
15652
              'type' => 'logic',
15653
            },
15654
            'direction' => 'in',
15655
            'hdlType' => 'std_logic',
15656
            'width' => 1,
15657
          },
15658
          'clk' => {
15659
            'attributes' => {
15660
              'domain' => '',
15661
              'group' => 1,
15662
              'isClk' => 1,
15663
              'is_floating_block' => 1,
15664
              'period' => 1,
15665
              'type' => 'logic',
15666
            },
15667
            'direction' => 'in',
15668
            'hdlType' => 'std_logic',
15669
            'width' => 1,
15670
          },
15671
          'clr' => {
15672
            'attributes' => {
15673
              'domain' => '',
15674
              'group' => 1,
15675
              'isClr' => 1,
15676
              'is_floating_block' => 1,
15677
              'period' => 1,
15678
              'type' => 'logic',
15679
              'valid_bit_used' => 0,
15680
            },
15681
            'direction' => 'in',
15682
            'hdlType' => 'std_logic',
15683
            'width' => 1,
15684
          },
15685
          'data_in' => {
15686
            'attributes' => {
15687
              'bin_pt' => 0,
15688
              'is_floating_block' => 1,
15689
              'must_be_hdl_vector' => 1,
15690
              'period' => 1,
15691
              'port_id' => 0,
15692
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/data_in',
15693
              'type' => 'Bool',
15694
            },
15695
            'direction' => 'in',
15696
            'hdlType' => 'std_logic_vector(0 downto 0)',
15697
            'width' => 1,
15698
          },
15699
          'dout' => {
15700
            'attributes' => {
15701
              'bin_pt' => 0,
15702
              'is_floating_block' => 1,
15703
              'must_be_hdl_vector' => 1,
15704
              'period' => 1,
15705
              'port_id' => 0,
15706
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/dout',
15707
              'type' => 'Bool',
15708
            },
15709
            'direction' => 'out',
15710
            'hdlType' => 'std_logic_vector(0 downto 0)',
15711
            'width' => 1,
15712
          },
15713
          'en' => {
15714
            'attributes' => {
15715
              'bin_pt' => 0,
15716
              'is_floating_block' => 1,
15717
              'must_be_hdl_vector' => 1,
15718
              'period' => 1,
15719
              'port_id' => 1,
15720
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register16/en',
15721
              'type' => 'Bool',
15722
            },
15723
            'direction' => 'in',
15724
            'hdlType' => 'std_logic_vector(0 downto 0)',
15725
            'width' => 1,
15726
          },
15727
        },
15728
      },
15729
      'entityName' => 'x_x6',
15730
    },
15731
    'to_register17' => {
15732
      'connections' => {
15733
        'ce' => 'sysgen_dut.to_register17_ce',
15734
        'clk' => 'sysgen_dut.to_register17_clk',
15735
        'clr' => 'sysgen_dut.to_register17_clr',
15736
        'data_in' => 'sysgen_dut.to_register17_data_in',
15737
        'dout' => 'to_register17.dout',
15738
        'en' => 'sysgen_dut.to_register17_en',
15739
      },
15740
      'entity' => {
15741
        'attributes' => {
15742
          'entityAlreadyNetlisted' => 1,
15743
          'generics' => [
15744
          ],
15745
          'is_floating_block' => 1,
15746
          'mask' => {
15747
            'Block_Handle' => 2126.00048828125,
15748
            'Block_handle' => 2126.00048828125,
15749
            'MDL_Handle' => 2083.00048828125,
15750
            'MDL_handle' => 2083.00048828125,
15751
            'arith_type' => 1,
15752
            'bin_pt' => 14,
15753
            'block_config' => 'sysgen_blockset:toreg_config',
15754
            'block_handle' => 2126.00048828125,
15755
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
15756
            'block_type' => 'toreg',
15757
            'dbl_ovrd' => 0,
15758
            'explicit_data_type' => 0,
15759
            'gui_display_data_type' => 1,
15760
            'init' => 0,
15761
            'init_bit_vector' => '\'b00000000000000000000000000000000',
15762
            'mdl_handle' => 2083.00048828125,
15763
            'model_handle' => 2083.00048828125,
15764
            'n_bits' => 16,
15765
            'ownership' => 1,
15766
            'preci_type' => 1,
15767
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
15768
            'shared_memory_name' => 'register07td',
15769
          },
15770
          'needs_vhdl_wrapper' => 0,
15771
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17',
15772
        },
15773
        'entityName' => 'x_x7',
15774
        'ports' => {
15775
          'ce' => {
15776
            'attributes' => {
15777
              'domain' => '',
15778
              'group' => 1,
15779
              'isCe' => 1,
15780
              'is_floating_block' => 1,
15781
              'period' => 1,
15782
              'type' => 'logic',
15783
            },
15784
            'direction' => 'in',
15785
            'hdlType' => 'std_logic',
15786
            'width' => 1,
15787
          },
15788
          'clk' => {
15789
            'attributes' => {
15790
              'domain' => '',
15791
              'group' => 1,
15792
              'isClk' => 1,
15793
              'is_floating_block' => 1,
15794
              'period' => 1,
15795
              'type' => 'logic',
15796
            },
15797
            'direction' => 'in',
15798
            'hdlType' => 'std_logic',
15799
            'width' => 1,
15800
          },
15801
          'clr' => {
15802
            'attributes' => {
15803
              'domain' => '',
15804
              'group' => 1,
15805
              'isClr' => 1,
15806
              'is_floating_block' => 1,
15807
              'period' => 1,
15808
              'type' => 'logic',
15809
              'valid_bit_used' => 0,
15810
            },
15811
            'direction' => 'in',
15812
            'hdlType' => 'std_logic',
15813
            'width' => 1,
15814
          },
15815
          'data_in' => {
15816
            'attributes' => {
15817
              'bin_pt' => 0,
15818
              'is_floating_block' => 1,
15819
              'must_be_hdl_vector' => 1,
15820
              'period' => 1,
15821
              'port_id' => 0,
15822
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/data_in',
15823
              'type' => 'UFix_32_0',
15824
            },
15825
            'direction' => 'in',
15826
            'hdlType' => 'std_logic_vector(31 downto 0)',
15827
            'width' => 32,
15828
          },
15829
          'dout' => {
15830
            'attributes' => {
15831
              'bin_pt' => 0,
15832
              'is_floating_block' => 1,
15833
              'must_be_hdl_vector' => 1,
15834
              'period' => 1,
15835
              'port_id' => 0,
15836
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/dout',
15837
              'type' => 'UFix_32_0',
15838
            },
15839
            'direction' => 'out',
15840
            'hdlType' => 'std_logic_vector(31 downto 0)',
15841
            'width' => 32,
15842
          },
15843
          'en' => {
15844
            'attributes' => {
15845
              'bin_pt' => 0,
15846
              'is_floating_block' => 1,
15847
              'must_be_hdl_vector' => 1,
15848
              'period' => 1,
15849
              'port_id' => 1,
15850
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register17/en',
15851
              'type' => 'Bool',
15852
            },
15853
            'direction' => 'in',
15854
            'hdlType' => 'std_logic_vector(0 downto 0)',
15855
            'width' => 1,
15856
          },
15857
        },
15858
      },
15859
      'entityName' => 'x_x7',
15860
    },
15861
    'to_register18' => {
15862
      'connections' => {
15863
        'ce' => 'sysgen_dut.to_register18_ce',
15864
        'clk' => 'sysgen_dut.to_register18_clk',
15865
        'clr' => 'sysgen_dut.to_register18_clr',
15866
        'data_in' => 'sysgen_dut.to_register18_data_in',
15867
        'dout' => 'to_register18.dout',
15868
        'en' => 'sysgen_dut.to_register18_en',
15869
      },
15870
      'entity' => {
15871
        'attributes' => {
15872
          'entityAlreadyNetlisted' => 1,
15873
          'generics' => [
15874
          ],
15875
          'is_floating_block' => 1,
15876
          'mask' => {
15877
            'Block_Handle' => 2127.00048828125,
15878
            'Block_handle' => 2127.00048828125,
15879
            'MDL_Handle' => 2083.00048828125,
15880
            'MDL_handle' => 2083.00048828125,
15881
            'arith_type' => 1,
15882
            'bin_pt' => 14,
15883
            'block_config' => 'sysgen_blockset:toreg_config',
15884
            'block_handle' => 2127.00048828125,
15885
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
15886
            'block_type' => 'toreg',
15887
            'dbl_ovrd' => 0,
15888
            'explicit_data_type' => 0,
15889
            'gui_display_data_type' => 1,
15890
            'init' => 0,
15891
            'init_bit_vector' => '\'b0',
15892
            'mdl_handle' => 2083.00048828125,
15893
            'model_handle' => 2083.00048828125,
15894
            'n_bits' => 16,
15895
            'ownership' => 1,
15896
            'preci_type' => 1,
15897
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
15898
            'shared_memory_name' => 'DMA_Host2Board_Busy',
15899
          },
15900
          'needs_vhdl_wrapper' => 0,
15901
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18',
15902
        },
15903
        'entityName' => 'x_x8',
15904
        'ports' => {
15905
          'ce' => {
15906
            'attributes' => {
15907
              'domain' => '',
15908
              'group' => 1,
15909
              'isCe' => 1,
15910
              'is_floating_block' => 1,
15911
              'period' => 1,
15912
              'type' => 'logic',
15913
            },
15914
            'direction' => 'in',
15915
            'hdlType' => 'std_logic',
15916
            'width' => 1,
15917
          },
15918
          'clk' => {
15919
            'attributes' => {
15920
              'domain' => '',
15921
              'group' => 1,
15922
              'isClk' => 1,
15923
              'is_floating_block' => 1,
15924
              'period' => 1,
15925
              'type' => 'logic',
15926
            },
15927
            'direction' => 'in',
15928
            'hdlType' => 'std_logic',
15929
            'width' => 1,
15930
          },
15931
          'clr' => {
15932
            'attributes' => {
15933
              'domain' => '',
15934
              'group' => 1,
15935
              'isClr' => 1,
15936
              'is_floating_block' => 1,
15937
              'period' => 1,
15938
              'type' => 'logic',
15939
              'valid_bit_used' => 0,
15940
            },
15941
            'direction' => 'in',
15942
            'hdlType' => 'std_logic',
15943
            'width' => 1,
15944
          },
15945
          'data_in' => {
15946
            'attributes' => {
15947
              'bin_pt' => 0,
15948
              'is_floating_block' => 1,
15949
              'must_be_hdl_vector' => 1,
15950
              'period' => 1,
15951
              'port_id' => 0,
15952
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/data_in',
15953
              'type' => 'UFix_1_0',
15954
            },
15955
            'direction' => 'in',
15956
            'hdlType' => 'std_logic_vector(0 downto 0)',
15957
            'width' => 1,
15958
          },
15959
          'dout' => {
15960
            'attributes' => {
15961
              'bin_pt' => 0,
15962
              'is_floating_block' => 1,
15963
              'must_be_hdl_vector' => 1,
15964
              'period' => 1,
15965
              'port_id' => 0,
15966
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/dout',
15967
              'type' => 'UFix_1_0',
15968
            },
15969
            'direction' => 'out',
15970
            'hdlType' => 'std_logic_vector(0 downto 0)',
15971
            'width' => 1,
15972
          },
15973
          'en' => {
15974
            'attributes' => {
15975
              'bin_pt' => 0,
15976
              'is_floating_block' => 1,
15977
              'must_be_hdl_vector' => 1,
15978
              'period' => 1,
15979
              'port_id' => 1,
15980
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register18/en',
15981
              'type' => 'Bool',
15982
            },
15983
            'direction' => 'in',
15984
            'hdlType' => 'std_logic_vector(0 downto 0)',
15985
            'width' => 1,
15986
          },
15987
        },
15988
      },
15989
      'entityName' => 'x_x8',
15990
    },
15991
    'to_register19' => {
15992
      'connections' => {
15993
        'ce' => 'sysgen_dut.to_register19_ce',
15994
        'clk' => 'sysgen_dut.to_register19_clk',
15995
        'clr' => 'sysgen_dut.to_register19_clr',
15996
        'data_in' => 'sysgen_dut.to_register19_data_in',
15997
        'dout' => 'to_register19.dout',
15998
        'en' => 'sysgen_dut.to_register19_en',
15999
      },
16000
      'entity' => {
16001
        'attributes' => {
16002
          'entityAlreadyNetlisted' => 1,
16003
          'generics' => [
16004
          ],
16005
          'is_floating_block' => 1,
16006
          'mask' => {
16007
            'Block_Handle' => 2128.00048828125,
16008
            'Block_handle' => 2128.00048828125,
16009
            'MDL_Handle' => 2083.00048828125,
16010
            'MDL_handle' => 2083.00048828125,
16011
            'arith_type' => 1,
16012
            'bin_pt' => 14,
16013
            'block_config' => 'sysgen_blockset:toreg_config',
16014
            'block_handle' => 2128.00048828125,
16015
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
16016
            'block_type' => 'toreg',
16017
            'dbl_ovrd' => 0,
16018
            'explicit_data_type' => 0,
16019
            'gui_display_data_type' => 1,
16020
            'init' => 0,
16021
            'init_bit_vector' => '\'b0',
16022
            'mdl_handle' => 2083.00048828125,
16023
            'model_handle' => 2083.00048828125,
16024
            'n_bits' => 16,
16025
            'ownership' => 1,
16026
            'preci_type' => 1,
16027
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16028
            'shared_memory_name' => 'DMA_Host2Board_Done',
16029
          },
16030
          'needs_vhdl_wrapper' => 0,
16031
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19',
16032
        },
16033
        'entityName' => 'x_x9',
16034
        'ports' => {
16035
          'ce' => {
16036
            'attributes' => {
16037
              'domain' => '',
16038
              'group' => 1,
16039
              'isCe' => 1,
16040
              'is_floating_block' => 1,
16041
              'period' => 1,
16042
              'type' => 'logic',
16043
            },
16044
            'direction' => 'in',
16045
            'hdlType' => 'std_logic',
16046
            'width' => 1,
16047
          },
16048
          'clk' => {
16049
            'attributes' => {
16050
              'domain' => '',
16051
              'group' => 1,
16052
              'isClk' => 1,
16053
              'is_floating_block' => 1,
16054
              'period' => 1,
16055
              'type' => 'logic',
16056
            },
16057
            'direction' => 'in',
16058
            'hdlType' => 'std_logic',
16059
            'width' => 1,
16060
          },
16061
          'clr' => {
16062
            'attributes' => {
16063
              'domain' => '',
16064
              'group' => 1,
16065
              'isClr' => 1,
16066
              'is_floating_block' => 1,
16067
              'period' => 1,
16068
              'type' => 'logic',
16069
              'valid_bit_used' => 0,
16070
            },
16071
            'direction' => 'in',
16072
            'hdlType' => 'std_logic',
16073
            'width' => 1,
16074
          },
16075
          'data_in' => {
16076
            'attributes' => {
16077
              'bin_pt' => 0,
16078
              'is_floating_block' => 1,
16079
              'must_be_hdl_vector' => 1,
16080
              'period' => 1,
16081
              'port_id' => 0,
16082
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/data_in',
16083
              'type' => 'UFix_1_0',
16084
            },
16085
            'direction' => 'in',
16086
            'hdlType' => 'std_logic_vector(0 downto 0)',
16087
            'width' => 1,
16088
          },
16089
          'dout' => {
16090
            'attributes' => {
16091
              'bin_pt' => 0,
16092
              'is_floating_block' => 1,
16093
              'must_be_hdl_vector' => 1,
16094
              'period' => 1,
16095
              'port_id' => 0,
16096
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/dout',
16097
              'type' => 'UFix_1_0',
16098
            },
16099
            'direction' => 'out',
16100
            'hdlType' => 'std_logic_vector(0 downto 0)',
16101
            'width' => 1,
16102
          },
16103
          'en' => {
16104
            'attributes' => {
16105
              'bin_pt' => 0,
16106
              'is_floating_block' => 1,
16107
              'must_be_hdl_vector' => 1,
16108
              'period' => 1,
16109
              'port_id' => 1,
16110
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register19/en',
16111
              'type' => 'Bool',
16112
            },
16113
            'direction' => 'in',
16114
            'hdlType' => 'std_logic_vector(0 downto 0)',
16115
            'width' => 1,
16116
          },
16117
        },
16118
      },
16119
      'entityName' => 'x_x9',
16120
    },
16121
    'to_register2' => {
16122
      'connections' => {
16123
        'ce' => 'sysgen_dut.to_register2_ce',
16124
        'clk' => 'sysgen_dut.to_register2_clk',
16125
        'clr' => 'sysgen_dut.to_register2_clr',
16126
        'data_in' => 'sysgen_dut.to_register2_data_in',
16127
        'dout' => 'to_register2.dout',
16128
        'en' => 'sysgen_dut.to_register2_en',
16129
      },
16130
      'entity' => {
16131
        'attributes' => {
16132
          'entityAlreadyNetlisted' => 1,
16133
          'generics' => [
16134
          ],
16135
          'is_floating_block' => 1,
16136
          'mask' => {
16137
            'Block_Handle' => 2129.00048828125,
16138
            'Block_handle' => 2129.00048828125,
16139
            'MDL_Handle' => 2083.00048828125,
16140
            'MDL_handle' => 2083.00048828125,
16141
            'arith_type' => 1,
16142
            'bin_pt' => 14,
16143
            'block_config' => 'sysgen_blockset:toreg_config',
16144
            'block_handle' => 2129.00048828125,
16145
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
16146
            'block_type' => 'toreg',
16147
            'dbl_ovrd' => 0,
16148
            'explicit_data_type' => 0,
16149
            'gui_display_data_type' => 1,
16150
            'init' => 0,
16151
            'init_bit_vector' => '\'b00000000000000000000000000000000',
16152
            'mdl_handle' => 2083.00048828125,
16153
            'model_handle' => 2083.00048828125,
16154
            'n_bits' => 16,
16155
            'ownership' => 1,
16156
            'preci_type' => 1,
16157
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16158
            'shared_memory_name' => 'debug3i',
16159
          },
16160
          'needs_vhdl_wrapper' => 0,
16161
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2',
16162
        },
16163
        'entityName' => 'x_x10',
16164
        'ports' => {
16165
          'ce' => {
16166
            'attributes' => {
16167
              'domain' => '',
16168
              'group' => 1,
16169
              'isCe' => 1,
16170
              'is_floating_block' => 1,
16171
              'period' => 1,
16172
              'type' => 'logic',
16173
            },
16174
            'direction' => 'in',
16175
            'hdlType' => 'std_logic',
16176
            'width' => 1,
16177
          },
16178
          'clk' => {
16179
            'attributes' => {
16180
              'domain' => '',
16181
              'group' => 1,
16182
              'isClk' => 1,
16183
              'is_floating_block' => 1,
16184
              'period' => 1,
16185
              'type' => 'logic',
16186
            },
16187
            'direction' => 'in',
16188
            'hdlType' => 'std_logic',
16189
            'width' => 1,
16190
          },
16191
          'clr' => {
16192
            'attributes' => {
16193
              'domain' => '',
16194
              'group' => 1,
16195
              'isClr' => 1,
16196
              'is_floating_block' => 1,
16197
              'period' => 1,
16198
              'type' => 'logic',
16199
              'valid_bit_used' => 0,
16200
            },
16201
            'direction' => 'in',
16202
            'hdlType' => 'std_logic',
16203
            'width' => 1,
16204
          },
16205
          'data_in' => {
16206
            'attributes' => {
16207
              'bin_pt' => 0,
16208
              'is_floating_block' => 1,
16209
              'must_be_hdl_vector' => 1,
16210
              'period' => 1,
16211
              'port_id' => 0,
16212
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/data_in',
16213
              'type' => 'UFix_32_0',
16214
            },
16215
            'direction' => 'in',
16216
            'hdlType' => 'std_logic_vector(31 downto 0)',
16217
            'width' => 32,
16218
          },
16219
          'dout' => {
16220
            'attributes' => {
16221
              'bin_pt' => 0,
16222
              'is_floating_block' => 1,
16223
              'must_be_hdl_vector' => 1,
16224
              'period' => 1,
16225
              'port_id' => 0,
16226
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/dout',
16227
              'type' => 'UFix_32_0',
16228
            },
16229
            'direction' => 'out',
16230
            'hdlType' => 'std_logic_vector(31 downto 0)',
16231
            'width' => 32,
16232
          },
16233
          'en' => {
16234
            'attributes' => {
16235
              'bin_pt' => 0,
16236
              'is_floating_block' => 1,
16237
              'must_be_hdl_vector' => 1,
16238
              'period' => 1,
16239
              'port_id' => 1,
16240
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register2/en',
16241
              'type' => 'Bool',
16242
            },
16243
            'direction' => 'in',
16244
            'hdlType' => 'std_logic_vector(0 downto 0)',
16245
            'width' => 1,
16246
          },
16247
        },
16248
      },
16249
      'entityName' => 'x_x10',
16250
    },
16251
    'to_register20' => {
16252
      'connections' => {
16253
        'ce' => 'sysgen_dut.to_register20_ce',
16254
        'clk' => 'sysgen_dut.to_register20_clk',
16255
        'clr' => 'sysgen_dut.to_register20_clr',
16256
        'data_in' => 'sysgen_dut.to_register20_data_in',
16257
        'dout' => 'to_register20.dout',
16258
        'en' => 'sysgen_dut.to_register20_en',
16259
      },
16260
      'entity' => {
16261
        'attributes' => {
16262
          'entityAlreadyNetlisted' => 1,
16263
          'generics' => [
16264
          ],
16265
          'is_floating_block' => 1,
16266
          'mask' => {
16267
            'Block_Handle' => 2130.00048828125,
16268
            'Block_handle' => 2130.00048828125,
16269
            'MDL_Handle' => 2083.00048828125,
16270
            'MDL_handle' => 2083.00048828125,
16271
            'arith_type' => 1,
16272
            'bin_pt' => 14,
16273
            'block_config' => 'sysgen_blockset:toreg_config',
16274
            'block_handle' => 2130.00048828125,
16275
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
16276
            'block_type' => 'toreg',
16277
            'dbl_ovrd' => 0,
16278
            'explicit_data_type' => 0,
16279
            'gui_display_data_type' => 1,
16280
            'init' => 0,
16281
            'init_bit_vector' => '\'b00000000000000000000000000000000',
16282
            'mdl_handle' => 2083.00048828125,
16283
            'model_handle' => 2083.00048828125,
16284
            'n_bits' => 16,
16285
            'ownership' => 1,
16286
            'preci_type' => 1,
16287
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16288
            'shared_memory_name' => 'debug4i',
16289
          },
16290
          'needs_vhdl_wrapper' => 0,
16291
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20',
16292
        },
16293
        'entityName' => 'x_x11',
16294
        'ports' => {
16295
          'ce' => {
16296
            'attributes' => {
16297
              'domain' => '',
16298
              'group' => 1,
16299
              'isCe' => 1,
16300
              'is_floating_block' => 1,
16301
              'period' => 1,
16302
              'type' => 'logic',
16303
            },
16304
            'direction' => 'in',
16305
            'hdlType' => 'std_logic',
16306
            'width' => 1,
16307
          },
16308
          'clk' => {
16309
            'attributes' => {
16310
              'domain' => '',
16311
              'group' => 1,
16312
              'isClk' => 1,
16313
              'is_floating_block' => 1,
16314
              'period' => 1,
16315
              'type' => 'logic',
16316
            },
16317
            'direction' => 'in',
16318
            'hdlType' => 'std_logic',
16319
            'width' => 1,
16320
          },
16321
          'clr' => {
16322
            'attributes' => {
16323
              'domain' => '',
16324
              'group' => 1,
16325
              'isClr' => 1,
16326
              'is_floating_block' => 1,
16327
              'period' => 1,
16328
              'type' => 'logic',
16329
              'valid_bit_used' => 0,
16330
            },
16331
            'direction' => 'in',
16332
            'hdlType' => 'std_logic',
16333
            'width' => 1,
16334
          },
16335
          'data_in' => {
16336
            'attributes' => {
16337
              'bin_pt' => 0,
16338
              'is_floating_block' => 1,
16339
              'must_be_hdl_vector' => 1,
16340
              'period' => 1,
16341
              'port_id' => 0,
16342
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/data_in',
16343
              'type' => 'UFix_32_0',
16344
            },
16345
            'direction' => 'in',
16346
            'hdlType' => 'std_logic_vector(31 downto 0)',
16347
            'width' => 32,
16348
          },
16349
          'dout' => {
16350
            'attributes' => {
16351
              'bin_pt' => 0,
16352
              'is_floating_block' => 1,
16353
              'must_be_hdl_vector' => 1,
16354
              'period' => 1,
16355
              'port_id' => 0,
16356
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/dout',
16357
              'type' => 'UFix_32_0',
16358
            },
16359
            'direction' => 'out',
16360
            'hdlType' => 'std_logic_vector(31 downto 0)',
16361
            'width' => 32,
16362
          },
16363
          'en' => {
16364
            'attributes' => {
16365
              'bin_pt' => 0,
16366
              'is_floating_block' => 1,
16367
              'must_be_hdl_vector' => 1,
16368
              'period' => 1,
16369
              'port_id' => 1,
16370
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register20/en',
16371
              'type' => 'Bool',
16372
            },
16373
            'direction' => 'in',
16374
            'hdlType' => 'std_logic_vector(0 downto 0)',
16375
            'width' => 1,
16376
          },
16377
        },
16378
      },
16379
      'entityName' => 'x_x11',
16380
    },
16381
    'to_register21' => {
16382
      'connections' => {
16383
        'ce' => 'sysgen_dut.to_register21_ce',
16384
        'clk' => 'sysgen_dut.to_register21_clk',
16385
        'clr' => 'sysgen_dut.to_register21_clr',
16386
        'data_in' => 'sysgen_dut.to_register21_data_in',
16387
        'dout' => 'to_register21.dout',
16388
        'en' => 'sysgen_dut.to_register21_en',
16389
      },
16390
      'entity' => {
16391
        'attributes' => {
16392
          'entityAlreadyNetlisted' => 1,
16393
          'generics' => [
16394
          ],
16395
          'is_floating_block' => 1,
16396
          'mask' => {
16397
            'Block_Handle' => 2131.00048828125,
16398
            'Block_handle' => 2131.00048828125,
16399
            'MDL_Handle' => 2083.00048828125,
16400
            'MDL_handle' => 2083.00048828125,
16401
            'arith_type' => 1,
16402
            'bin_pt' => 14,
16403
            'block_config' => 'sysgen_blockset:toreg_config',
16404
            'block_handle' => 2131.00048828125,
16405
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
16406
            'block_type' => 'toreg',
16407
            'dbl_ovrd' => 0,
16408
            'explicit_data_type' => 0,
16409
            'gui_display_data_type' => 1,
16410
            'init' => 0,
16411
            'init_bit_vector' => '\'b0',
16412
            'mdl_handle' => 2083.00048828125,
16413
            'model_handle' => 2083.00048828125,
16414
            'n_bits' => 16,
16415
            'ownership' => 1,
16416
            'preci_type' => 1,
16417
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16418
            'shared_memory_name' => 'register09tv',
16419
          },
16420
          'needs_vhdl_wrapper' => 0,
16421
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21',
16422
        },
16423
        'entityName' => 'x_x12',
16424
        'ports' => {
16425
          'ce' => {
16426
            'attributes' => {
16427
              'domain' => '',
16428
              'group' => 1,
16429
              'isCe' => 1,
16430
              'is_floating_block' => 1,
16431
              'period' => 1,
16432
              'type' => 'logic',
16433
            },
16434
            'direction' => 'in',
16435
            'hdlType' => 'std_logic',
16436
            'width' => 1,
16437
          },
16438
          'clk' => {
16439
            'attributes' => {
16440
              'domain' => '',
16441
              'group' => 1,
16442
              'isClk' => 1,
16443
              'is_floating_block' => 1,
16444
              'period' => 1,
16445
              'type' => 'logic',
16446
            },
16447
            'direction' => 'in',
16448
            'hdlType' => 'std_logic',
16449
            'width' => 1,
16450
          },
16451
          'clr' => {
16452
            'attributes' => {
16453
              'domain' => '',
16454
              'group' => 1,
16455
              'isClr' => 1,
16456
              'is_floating_block' => 1,
16457
              'period' => 1,
16458
              'type' => 'logic',
16459
              'valid_bit_used' => 0,
16460
            },
16461
            'direction' => 'in',
16462
            'hdlType' => 'std_logic',
16463
            'width' => 1,
16464
          },
16465
          'data_in' => {
16466
            'attributes' => {
16467
              'bin_pt' => 0,
16468
              'is_floating_block' => 1,
16469
              'must_be_hdl_vector' => 1,
16470
              'period' => 1,
16471
              'port_id' => 0,
16472
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/data_in',
16473
              'type' => 'Bool',
16474
            },
16475
            'direction' => 'in',
16476
            'hdlType' => 'std_logic_vector(0 downto 0)',
16477
            'width' => 1,
16478
          },
16479
          'dout' => {
16480
            'attributes' => {
16481
              'bin_pt' => 0,
16482
              'is_floating_block' => 1,
16483
              'must_be_hdl_vector' => 1,
16484
              'period' => 1,
16485
              'port_id' => 0,
16486
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/dout',
16487
              'type' => 'Bool',
16488
            },
16489
            'direction' => 'out',
16490
            'hdlType' => 'std_logic_vector(0 downto 0)',
16491
            'width' => 1,
16492
          },
16493
          'en' => {
16494
            'attributes' => {
16495
              'bin_pt' => 0,
16496
              'is_floating_block' => 1,
16497
              'must_be_hdl_vector' => 1,
16498
              'period' => 1,
16499
              'port_id' => 1,
16500
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register21/en',
16501
              'type' => 'Bool',
16502
            },
16503
            'direction' => 'in',
16504
            'hdlType' => 'std_logic_vector(0 downto 0)',
16505
            'width' => 1,
16506
          },
16507
        },
16508
      },
16509
      'entityName' => 'x_x12',
16510
    },
16511
    'to_register22' => {
16512
      'connections' => {
16513
        'ce' => 'sysgen_dut.to_register22_ce',
16514
        'clk' => 'sysgen_dut.to_register22_clk',
16515
        'clr' => 'sysgen_dut.to_register22_clr',
16516
        'data_in' => 'sysgen_dut.to_register22_data_in',
16517
        'dout' => 'to_register22.dout',
16518
        'en' => 'sysgen_dut.to_register22_en',
16519
      },
16520
      'entity' => {
16521
        'attributes' => {
16522
          'entityAlreadyNetlisted' => 1,
16523
          'generics' => [
16524
          ],
16525
          'is_floating_block' => 1,
16526
          'mask' => {
16527
            'Block_Handle' => 2132.00048828125,
16528
            'Block_handle' => 2132.00048828125,
16529
            'MDL_Handle' => 2083.00048828125,
16530
            'MDL_handle' => 2083.00048828125,
16531
            'arith_type' => 1,
16532
            'bin_pt' => 14,
16533
            'block_config' => 'sysgen_blockset:toreg_config',
16534
            'block_handle' => 2132.00048828125,
16535
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
16536
            'block_type' => 'toreg',
16537
            'dbl_ovrd' => 0,
16538
            'explicit_data_type' => 0,
16539
            'gui_display_data_type' => 1,
16540
            'init' => 0,
16541
            'init_bit_vector' => '\'b00000000000000000000000000000000',
16542
            'mdl_handle' => 2083.00048828125,
16543
            'model_handle' => 2083.00048828125,
16544
            'n_bits' => 16,
16545
            'ownership' => 1,
16546
            'preci_type' => 1,
16547
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16548
            'shared_memory_name' => 'register09td',
16549
          },
16550
          'needs_vhdl_wrapper' => 0,
16551
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22',
16552
        },
16553
        'entityName' => 'x_x13',
16554
        'ports' => {
16555
          'ce' => {
16556
            'attributes' => {
16557
              'domain' => '',
16558
              'group' => 1,
16559
              'isCe' => 1,
16560
              'is_floating_block' => 1,
16561
              'period' => 1,
16562
              'type' => 'logic',
16563
            },
16564
            'direction' => 'in',
16565
            'hdlType' => 'std_logic',
16566
            'width' => 1,
16567
          },
16568
          'clk' => {
16569
            'attributes' => {
16570
              'domain' => '',
16571
              'group' => 1,
16572
              'isClk' => 1,
16573
              'is_floating_block' => 1,
16574
              'period' => 1,
16575
              'type' => 'logic',
16576
            },
16577
            'direction' => 'in',
16578
            'hdlType' => 'std_logic',
16579
            'width' => 1,
16580
          },
16581
          'clr' => {
16582
            'attributes' => {
16583
              'domain' => '',
16584
              'group' => 1,
16585
              'isClr' => 1,
16586
              'is_floating_block' => 1,
16587
              'period' => 1,
16588
              'type' => 'logic',
16589
              'valid_bit_used' => 0,
16590
            },
16591
            'direction' => 'in',
16592
            'hdlType' => 'std_logic',
16593
            'width' => 1,
16594
          },
16595
          'data_in' => {
16596
            'attributes' => {
16597
              'bin_pt' => 0,
16598
              'is_floating_block' => 1,
16599
              'must_be_hdl_vector' => 1,
16600
              'period' => 1,
16601
              'port_id' => 0,
16602
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/data_in',
16603
              'type' => 'UFix_32_0',
16604
            },
16605
            'direction' => 'in',
16606
            'hdlType' => 'std_logic_vector(31 downto 0)',
16607
            'width' => 32,
16608
          },
16609
          'dout' => {
16610
            'attributes' => {
16611
              'bin_pt' => 0,
16612
              'is_floating_block' => 1,
16613
              'must_be_hdl_vector' => 1,
16614
              'period' => 1,
16615
              'port_id' => 0,
16616
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/dout',
16617
              'type' => 'UFix_32_0',
16618
            },
16619
            'direction' => 'out',
16620
            'hdlType' => 'std_logic_vector(31 downto 0)',
16621
            'width' => 32,
16622
          },
16623
          'en' => {
16624
            'attributes' => {
16625
              'bin_pt' => 0,
16626
              'is_floating_block' => 1,
16627
              'must_be_hdl_vector' => 1,
16628
              'period' => 1,
16629
              'port_id' => 1,
16630
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register22/en',
16631
              'type' => 'Bool',
16632
            },
16633
            'direction' => 'in',
16634
            'hdlType' => 'std_logic_vector(0 downto 0)',
16635
            'width' => 1,
16636
          },
16637
        },
16638
      },
16639
      'entityName' => 'x_x13',
16640
    },
16641
    'to_register23' => {
16642
      'connections' => {
16643
        'ce' => 'sysgen_dut.to_register23_ce',
16644
        'clk' => 'sysgen_dut.to_register23_clk',
16645
        'clr' => 'sysgen_dut.to_register23_clr',
16646
        'data_in' => 'sysgen_dut.to_register23_data_in',
16647
        'dout' => 'to_register23.dout',
16648
        'en' => 'sysgen_dut.to_register23_en',
16649
      },
16650
      'entity' => {
16651
        'attributes' => {
16652
          'entityAlreadyNetlisted' => 1,
16653
          'generics' => [
16654
          ],
16655
          'is_floating_block' => 1,
16656
          'mask' => {
16657
            'Block_Handle' => 2133.00048828125,
16658
            'Block_handle' => 2133.00048828125,
16659
            'MDL_Handle' => 2083.00048828125,
16660
            'MDL_handle' => 2083.00048828125,
16661
            'arith_type' => 1,
16662
            'bin_pt' => 14,
16663
            'block_config' => 'sysgen_blockset:toreg_config',
16664
            'block_handle' => 2133.00048828125,
16665
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
16666
            'block_type' => 'toreg',
16667
            'dbl_ovrd' => 0,
16668
            'explicit_data_type' => 0,
16669
            'gui_display_data_type' => 1,
16670
            'init' => 0,
16671
            'init_bit_vector' => '\'b0',
16672
            'mdl_handle' => 2083.00048828125,
16673
            'model_handle' => 2083.00048828125,
16674
            'n_bits' => 16,
16675
            'ownership' => 1,
16676
            'preci_type' => 1,
16677
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16678
            'shared_memory_name' => 'register10tv',
16679
          },
16680
          'needs_vhdl_wrapper' => 0,
16681
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23',
16682
        },
16683
        'entityName' => 'x_x14',
16684
        'ports' => {
16685
          'ce' => {
16686
            'attributes' => {
16687
              'domain' => '',
16688
              'group' => 1,
16689
              'isCe' => 1,
16690
              'is_floating_block' => 1,
16691
              'period' => 1,
16692
              'type' => 'logic',
16693
            },
16694
            'direction' => 'in',
16695
            'hdlType' => 'std_logic',
16696
            'width' => 1,
16697
          },
16698
          'clk' => {
16699
            'attributes' => {
16700
              'domain' => '',
16701
              'group' => 1,
16702
              'isClk' => 1,
16703
              'is_floating_block' => 1,
16704
              'period' => 1,
16705
              'type' => 'logic',
16706
            },
16707
            'direction' => 'in',
16708
            'hdlType' => 'std_logic',
16709
            'width' => 1,
16710
          },
16711
          'clr' => {
16712
            'attributes' => {
16713
              'domain' => '',
16714
              'group' => 1,
16715
              'isClr' => 1,
16716
              'is_floating_block' => 1,
16717
              'period' => 1,
16718
              'type' => 'logic',
16719
              'valid_bit_used' => 0,
16720
            },
16721
            'direction' => 'in',
16722
            'hdlType' => 'std_logic',
16723
            'width' => 1,
16724
          },
16725
          'data_in' => {
16726
            'attributes' => {
16727
              'bin_pt' => 0,
16728
              'is_floating_block' => 1,
16729
              'must_be_hdl_vector' => 1,
16730
              'period' => 1,
16731
              'port_id' => 0,
16732
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/data_in',
16733
              'type' => 'Bool',
16734
            },
16735
            'direction' => 'in',
16736
            'hdlType' => 'std_logic_vector(0 downto 0)',
16737
            'width' => 1,
16738
          },
16739
          'dout' => {
16740
            'attributes' => {
16741
              'bin_pt' => 0,
16742
              'is_floating_block' => 1,
16743
              'must_be_hdl_vector' => 1,
16744
              'period' => 1,
16745
              'port_id' => 0,
16746
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/dout',
16747
              'type' => 'Bool',
16748
            },
16749
            'direction' => 'out',
16750
            'hdlType' => 'std_logic_vector(0 downto 0)',
16751
            'width' => 1,
16752
          },
16753
          'en' => {
16754
            'attributes' => {
16755
              'bin_pt' => 0,
16756
              'is_floating_block' => 1,
16757
              'must_be_hdl_vector' => 1,
16758
              'period' => 1,
16759
              'port_id' => 1,
16760
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register23/en',
16761
              'type' => 'Bool',
16762
            },
16763
            'direction' => 'in',
16764
            'hdlType' => 'std_logic_vector(0 downto 0)',
16765
            'width' => 1,
16766
          },
16767
        },
16768
      },
16769
      'entityName' => 'x_x14',
16770
    },
16771
    'to_register24' => {
16772
      'connections' => {
16773
        'ce' => 'sysgen_dut.to_register24_ce',
16774
        'clk' => 'sysgen_dut.to_register24_clk',
16775
        'clr' => 'sysgen_dut.to_register24_clr',
16776
        'data_in' => 'sysgen_dut.to_register24_data_in',
16777
        'dout' => 'to_register24.dout',
16778
        'en' => 'sysgen_dut.to_register24_en',
16779
      },
16780
      'entity' => {
16781
        'attributes' => {
16782
          'entityAlreadyNetlisted' => 1,
16783
          'generics' => [
16784
          ],
16785
          'is_floating_block' => 1,
16786
          'mask' => {
16787
            'Block_Handle' => 2134.00048828125,
16788
            'Block_handle' => 2134.00048828125,
16789
            'MDL_Handle' => 2083.00048828125,
16790
            'MDL_handle' => 2083.00048828125,
16791
            'arith_type' => 1,
16792
            'bin_pt' => 14,
16793
            'block_config' => 'sysgen_blockset:toreg_config',
16794
            'block_handle' => 2134.00048828125,
16795
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
16796
            'block_type' => 'toreg',
16797
            'dbl_ovrd' => 0,
16798
            'explicit_data_type' => 0,
16799
            'gui_display_data_type' => 1,
16800
            'init' => 0,
16801
            'init_bit_vector' => '\'b00000000000000000000000000000000',
16802
            'mdl_handle' => 2083.00048828125,
16803
            'model_handle' => 2083.00048828125,
16804
            'n_bits' => 16,
16805
            'ownership' => 1,
16806
            'preci_type' => 1,
16807
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16808
            'shared_memory_name' => 'register10td',
16809
          },
16810
          'needs_vhdl_wrapper' => 0,
16811
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24',
16812
        },
16813
        'entityName' => 'x_x15',
16814
        'ports' => {
16815
          'ce' => {
16816
            'attributes' => {
16817
              'domain' => '',
16818
              'group' => 1,
16819
              'isCe' => 1,
16820
              'is_floating_block' => 1,
16821
              'period' => 1,
16822
              'type' => 'logic',
16823
            },
16824
            'direction' => 'in',
16825
            'hdlType' => 'std_logic',
16826
            'width' => 1,
16827
          },
16828
          'clk' => {
16829
            'attributes' => {
16830
              'domain' => '',
16831
              'group' => 1,
16832
              'isClk' => 1,
16833
              'is_floating_block' => 1,
16834
              'period' => 1,
16835
              'type' => 'logic',
16836
            },
16837
            'direction' => 'in',
16838
            'hdlType' => 'std_logic',
16839
            'width' => 1,
16840
          },
16841
          'clr' => {
16842
            'attributes' => {
16843
              'domain' => '',
16844
              'group' => 1,
16845
              'isClr' => 1,
16846
              'is_floating_block' => 1,
16847
              'period' => 1,
16848
              'type' => 'logic',
16849
              'valid_bit_used' => 0,
16850
            },
16851
            'direction' => 'in',
16852
            'hdlType' => 'std_logic',
16853
            'width' => 1,
16854
          },
16855
          'data_in' => {
16856
            'attributes' => {
16857
              'bin_pt' => 0,
16858
              'is_floating_block' => 1,
16859
              'must_be_hdl_vector' => 1,
16860
              'period' => 1,
16861
              'port_id' => 0,
16862
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/data_in',
16863
              'type' => 'UFix_32_0',
16864
            },
16865
            'direction' => 'in',
16866
            'hdlType' => 'std_logic_vector(31 downto 0)',
16867
            'width' => 32,
16868
          },
16869
          'dout' => {
16870
            'attributes' => {
16871
              'bin_pt' => 0,
16872
              'is_floating_block' => 1,
16873
              'must_be_hdl_vector' => 1,
16874
              'period' => 1,
16875
              'port_id' => 0,
16876
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/dout',
16877
              'type' => 'UFix_32_0',
16878
            },
16879
            'direction' => 'out',
16880
            'hdlType' => 'std_logic_vector(31 downto 0)',
16881
            'width' => 32,
16882
          },
16883
          'en' => {
16884
            'attributes' => {
16885
              'bin_pt' => 0,
16886
              'is_floating_block' => 1,
16887
              'must_be_hdl_vector' => 1,
16888
              'period' => 1,
16889
              'port_id' => 1,
16890
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register24/en',
16891
              'type' => 'Bool',
16892
            },
16893
            'direction' => 'in',
16894
            'hdlType' => 'std_logic_vector(0 downto 0)',
16895
            'width' => 1,
16896
          },
16897
        },
16898
      },
16899
      'entityName' => 'x_x15',
16900
    },
16901
    'to_register25' => {
16902
      'connections' => {
16903
        'ce' => 'sysgen_dut.to_register25_ce',
16904
        'clk' => 'sysgen_dut.to_register25_clk',
16905
        'clr' => 'sysgen_dut.to_register25_clr',
16906
        'data_in' => 'sysgen_dut.to_register25_data_in',
16907
        'dout' => 'to_register25.dout',
16908
        'en' => 'sysgen_dut.to_register25_en',
16909
      },
16910
      'entity' => {
16911
        'attributes' => {
16912
          'entityAlreadyNetlisted' => 1,
16913
          'generics' => [
16914
          ],
16915
          'is_floating_block' => 1,
16916
          'mask' => {
16917
            'Block_Handle' => 2135.00048828125,
16918
            'Block_handle' => 2135.00048828125,
16919
            'MDL_Handle' => 2083.00048828125,
16920
            'MDL_handle' => 2083.00048828125,
16921
            'arith_type' => 1,
16922
            'bin_pt' => 14,
16923
            'block_config' => 'sysgen_blockset:toreg_config',
16924
            'block_handle' => 2135.00048828125,
16925
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
16926
            'block_type' => 'toreg',
16927
            'dbl_ovrd' => 0,
16928
            'explicit_data_type' => 0,
16929
            'gui_display_data_type' => 1,
16930
            'init' => 0,
16931
            'init_bit_vector' => '\'b0',
16932
            'mdl_handle' => 2083.00048828125,
16933
            'model_handle' => 2083.00048828125,
16934
            'n_bits' => 16,
16935
            'ownership' => 1,
16936
            'preci_type' => 1,
16937
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
16938
            'shared_memory_name' => 'register08tv',
16939
          },
16940
          'needs_vhdl_wrapper' => 0,
16941
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25',
16942
        },
16943
        'entityName' => 'x_x16',
16944
        'ports' => {
16945
          'ce' => {
16946
            'attributes' => {
16947
              'domain' => '',
16948
              'group' => 1,
16949
              'isCe' => 1,
16950
              'is_floating_block' => 1,
16951
              'period' => 1,
16952
              'type' => 'logic',
16953
            },
16954
            'direction' => 'in',
16955
            'hdlType' => 'std_logic',
16956
            'width' => 1,
16957
          },
16958
          'clk' => {
16959
            'attributes' => {
16960
              'domain' => '',
16961
              'group' => 1,
16962
              'isClk' => 1,
16963
              'is_floating_block' => 1,
16964
              'period' => 1,
16965
              'type' => 'logic',
16966
            },
16967
            'direction' => 'in',
16968
            'hdlType' => 'std_logic',
16969
            'width' => 1,
16970
          },
16971
          'clr' => {
16972
            'attributes' => {
16973
              'domain' => '',
16974
              'group' => 1,
16975
              'isClr' => 1,
16976
              'is_floating_block' => 1,
16977
              'period' => 1,
16978
              'type' => 'logic',
16979
              'valid_bit_used' => 0,
16980
            },
16981
            'direction' => 'in',
16982
            'hdlType' => 'std_logic',
16983
            'width' => 1,
16984
          },
16985
          'data_in' => {
16986
            'attributes' => {
16987
              'bin_pt' => 0,
16988
              'is_floating_block' => 1,
16989
              'must_be_hdl_vector' => 1,
16990
              'period' => 1,
16991
              'port_id' => 0,
16992
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/data_in',
16993
              'type' => 'Bool',
16994
            },
16995
            'direction' => 'in',
16996
            'hdlType' => 'std_logic_vector(0 downto 0)',
16997
            'width' => 1,
16998
          },
16999
          'dout' => {
17000
            'attributes' => {
17001
              'bin_pt' => 0,
17002
              'is_floating_block' => 1,
17003
              'must_be_hdl_vector' => 1,
17004
              'period' => 1,
17005
              'port_id' => 0,
17006
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/dout',
17007
              'type' => 'Bool',
17008
            },
17009
            'direction' => 'out',
17010
            'hdlType' => 'std_logic_vector(0 downto 0)',
17011
            'width' => 1,
17012
          },
17013
          'en' => {
17014
            'attributes' => {
17015
              'bin_pt' => 0,
17016
              'is_floating_block' => 1,
17017
              'must_be_hdl_vector' => 1,
17018
              'period' => 1,
17019
              'port_id' => 1,
17020
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register25/en',
17021
              'type' => 'Bool',
17022
            },
17023
            'direction' => 'in',
17024
            'hdlType' => 'std_logic_vector(0 downto 0)',
17025
            'width' => 1,
17026
          },
17027
        },
17028
      },
17029
      'entityName' => 'x_x16',
17030
    },
17031
    'to_register26' => {
17032
      'connections' => {
17033
        'ce' => 'sysgen_dut.to_register26_ce',
17034
        'clk' => 'sysgen_dut.to_register26_clk',
17035
        'clr' => 'sysgen_dut.to_register26_clr',
17036
        'data_in' => 'sysgen_dut.to_register26_data_in',
17037
        'dout' => 'to_register26.dout',
17038
        'en' => 'sysgen_dut.to_register26_en',
17039
      },
17040
      'entity' => {
17041
        'attributes' => {
17042
          'entityAlreadyNetlisted' => 1,
17043
          'generics' => [
17044
          ],
17045
          'is_floating_block' => 1,
17046
          'mask' => {
17047
            'Block_Handle' => 2136.00048828125,
17048
            'Block_handle' => 2136.00048828125,
17049
            'MDL_Handle' => 2083.00048828125,
17050
            'MDL_handle' => 2083.00048828125,
17051
            'arith_type' => 1,
17052
            'bin_pt' => 14,
17053
            'block_config' => 'sysgen_blockset:toreg_config',
17054
            'block_handle' => 2136.00048828125,
17055
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
17056
            'block_type' => 'toreg',
17057
            'dbl_ovrd' => 0,
17058
            'explicit_data_type' => 0,
17059
            'gui_display_data_type' => 1,
17060
            'init' => 0,
17061
            'init_bit_vector' => '\'b00000000000000000000000000000000',
17062
            'mdl_handle' => 2083.00048828125,
17063
            'model_handle' => 2083.00048828125,
17064
            'n_bits' => 16,
17065
            'ownership' => 1,
17066
            'preci_type' => 1,
17067
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17068
            'shared_memory_name' => 'register08td',
17069
          },
17070
          'needs_vhdl_wrapper' => 0,
17071
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26',
17072
        },
17073
        'entityName' => 'x_x17',
17074
        'ports' => {
17075
          'ce' => {
17076
            'attributes' => {
17077
              'domain' => '',
17078
              'group' => 1,
17079
              'isCe' => 1,
17080
              'is_floating_block' => 1,
17081
              'period' => 1,
17082
              'type' => 'logic',
17083
            },
17084
            'direction' => 'in',
17085
            'hdlType' => 'std_logic',
17086
            'width' => 1,
17087
          },
17088
          'clk' => {
17089
            'attributes' => {
17090
              'domain' => '',
17091
              'group' => 1,
17092
              'isClk' => 1,
17093
              'is_floating_block' => 1,
17094
              'period' => 1,
17095
              'type' => 'logic',
17096
            },
17097
            'direction' => 'in',
17098
            'hdlType' => 'std_logic',
17099
            'width' => 1,
17100
          },
17101
          'clr' => {
17102
            'attributes' => {
17103
              'domain' => '',
17104
              'group' => 1,
17105
              'isClr' => 1,
17106
              'is_floating_block' => 1,
17107
              'period' => 1,
17108
              'type' => 'logic',
17109
              'valid_bit_used' => 0,
17110
            },
17111
            'direction' => 'in',
17112
            'hdlType' => 'std_logic',
17113
            'width' => 1,
17114
          },
17115
          'data_in' => {
17116
            'attributes' => {
17117
              'bin_pt' => 0,
17118
              'is_floating_block' => 1,
17119
              'must_be_hdl_vector' => 1,
17120
              'period' => 1,
17121
              'port_id' => 0,
17122
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/data_in',
17123
              'type' => 'UFix_32_0',
17124
            },
17125
            'direction' => 'in',
17126
            'hdlType' => 'std_logic_vector(31 downto 0)',
17127
            'width' => 32,
17128
          },
17129
          'dout' => {
17130
            'attributes' => {
17131
              'bin_pt' => 0,
17132
              'is_floating_block' => 1,
17133
              'must_be_hdl_vector' => 1,
17134
              'period' => 1,
17135
              'port_id' => 0,
17136
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/dout',
17137
              'type' => 'UFix_32_0',
17138
            },
17139
            'direction' => 'out',
17140
            'hdlType' => 'std_logic_vector(31 downto 0)',
17141
            'width' => 32,
17142
          },
17143
          'en' => {
17144
            'attributes' => {
17145
              'bin_pt' => 0,
17146
              'is_floating_block' => 1,
17147
              'must_be_hdl_vector' => 1,
17148
              'period' => 1,
17149
              'port_id' => 1,
17150
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register26/en',
17151
              'type' => 'Bool',
17152
            },
17153
            'direction' => 'in',
17154
            'hdlType' => 'std_logic_vector(0 downto 0)',
17155
            'width' => 1,
17156
          },
17157
        },
17158
      },
17159
      'entityName' => 'x_x17',
17160
    },
17161
    'to_register27' => {
17162
      'connections' => {
17163
        'ce' => 'sysgen_dut.to_register27_ce',
17164
        'clk' => 'sysgen_dut.to_register27_clk',
17165
        'clr' => 'sysgen_dut.to_register27_clr',
17166
        'data_in' => 'sysgen_dut.to_register27_data_in',
17167
        'dout' => 'to_register27.dout',
17168
        'en' => 'sysgen_dut.to_register27_en',
17169
      },
17170
      'entity' => {
17171
        'attributes' => {
17172
          'entityAlreadyNetlisted' => 1,
17173
          'generics' => [
17174
          ],
17175
          'is_floating_block' => 1,
17176
          'mask' => {
17177
            'Block_Handle' => 2137.00048828125,
17178
            'Block_handle' => 2137.00048828125,
17179
            'MDL_Handle' => 2083.00048828125,
17180
            'MDL_handle' => 2083.00048828125,
17181
            'arith_type' => 1,
17182
            'bin_pt' => 14,
17183
            'block_config' => 'sysgen_blockset:toreg_config',
17184
            'block_handle' => 2137.00048828125,
17185
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
17186
            'block_type' => 'toreg',
17187
            'dbl_ovrd' => 0,
17188
            'explicit_data_type' => 0,
17189
            'gui_display_data_type' => 1,
17190
            'init' => 0,
17191
            'init_bit_vector' => '\'b0',
17192
            'mdl_handle' => 2083.00048828125,
17193
            'model_handle' => 2083.00048828125,
17194
            'n_bits' => 16,
17195
            'ownership' => 1,
17196
            'preci_type' => 1,
17197
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17198
            'shared_memory_name' => 'register11tv',
17199
          },
17200
          'needs_vhdl_wrapper' => 0,
17201
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27',
17202
        },
17203
        'entityName' => 'x_x18',
17204
        'ports' => {
17205
          'ce' => {
17206
            'attributes' => {
17207
              'domain' => '',
17208
              'group' => 1,
17209
              'isCe' => 1,
17210
              'is_floating_block' => 1,
17211
              'period' => 1,
17212
              'type' => 'logic',
17213
            },
17214
            'direction' => 'in',
17215
            'hdlType' => 'std_logic',
17216
            'width' => 1,
17217
          },
17218
          'clk' => {
17219
            'attributes' => {
17220
              'domain' => '',
17221
              'group' => 1,
17222
              'isClk' => 1,
17223
              'is_floating_block' => 1,
17224
              'period' => 1,
17225
              'type' => 'logic',
17226
            },
17227
            'direction' => 'in',
17228
            'hdlType' => 'std_logic',
17229
            'width' => 1,
17230
          },
17231
          'clr' => {
17232
            'attributes' => {
17233
              'domain' => '',
17234
              'group' => 1,
17235
              'isClr' => 1,
17236
              'is_floating_block' => 1,
17237
              'period' => 1,
17238
              'type' => 'logic',
17239
              'valid_bit_used' => 0,
17240
            },
17241
            'direction' => 'in',
17242
            'hdlType' => 'std_logic',
17243
            'width' => 1,
17244
          },
17245
          'data_in' => {
17246
            'attributes' => {
17247
              'bin_pt' => 0,
17248
              'is_floating_block' => 1,
17249
              'must_be_hdl_vector' => 1,
17250
              'period' => 1,
17251
              'port_id' => 0,
17252
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/data_in',
17253
              'type' => 'Bool',
17254
            },
17255
            'direction' => 'in',
17256
            'hdlType' => 'std_logic_vector(0 downto 0)',
17257
            'width' => 1,
17258
          },
17259
          'dout' => {
17260
            'attributes' => {
17261
              'bin_pt' => 0,
17262
              'is_floating_block' => 1,
17263
              'must_be_hdl_vector' => 1,
17264
              'period' => 1,
17265
              'port_id' => 0,
17266
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/dout',
17267
              'type' => 'Bool',
17268
            },
17269
            'direction' => 'out',
17270
            'hdlType' => 'std_logic_vector(0 downto 0)',
17271
            'width' => 1,
17272
          },
17273
          'en' => {
17274
            'attributes' => {
17275
              'bin_pt' => 0,
17276
              'is_floating_block' => 1,
17277
              'must_be_hdl_vector' => 1,
17278
              'period' => 1,
17279
              'port_id' => 1,
17280
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register27/en',
17281
              'type' => 'Bool',
17282
            },
17283
            'direction' => 'in',
17284
            'hdlType' => 'std_logic_vector(0 downto 0)',
17285
            'width' => 1,
17286
          },
17287
        },
17288
      },
17289
      'entityName' => 'x_x18',
17290
    },
17291
    'to_register28' => {
17292
      'connections' => {
17293
        'ce' => 'sysgen_dut.to_register28_ce',
17294
        'clk' => 'sysgen_dut.to_register28_clk',
17295
        'clr' => 'sysgen_dut.to_register28_clr',
17296
        'data_in' => 'sysgen_dut.to_register28_data_in',
17297
        'dout' => 'to_register28.dout',
17298
        'en' => 'sysgen_dut.to_register28_en',
17299
      },
17300
      'entity' => {
17301
        'attributes' => {
17302
          'entityAlreadyNetlisted' => 1,
17303
          'generics' => [
17304
          ],
17305
          'is_floating_block' => 1,
17306
          'mask' => {
17307
            'Block_Handle' => 2138.00048828125,
17308
            'Block_handle' => 2138.00048828125,
17309
            'MDL_Handle' => 2083.00048828125,
17310
            'MDL_handle' => 2083.00048828125,
17311
            'arith_type' => 1,
17312
            'bin_pt' => 14,
17313
            'block_config' => 'sysgen_blockset:toreg_config',
17314
            'block_handle' => 2138.00048828125,
17315
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
17316
            'block_type' => 'toreg',
17317
            'dbl_ovrd' => 0,
17318
            'explicit_data_type' => 0,
17319
            'gui_display_data_type' => 1,
17320
            'init' => 0,
17321
            'init_bit_vector' => '\'b00000000000000000000000000000000',
17322
            'mdl_handle' => 2083.00048828125,
17323
            'model_handle' => 2083.00048828125,
17324
            'n_bits' => 16,
17325
            'ownership' => 1,
17326
            'preci_type' => 1,
17327
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17328
            'shared_memory_name' => 'register11td',
17329
          },
17330
          'needs_vhdl_wrapper' => 0,
17331
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28',
17332
        },
17333
        'entityName' => 'x_x19',
17334
        'ports' => {
17335
          'ce' => {
17336
            'attributes' => {
17337
              'domain' => '',
17338
              'group' => 1,
17339
              'isCe' => 1,
17340
              'is_floating_block' => 1,
17341
              'period' => 1,
17342
              'type' => 'logic',
17343
            },
17344
            'direction' => 'in',
17345
            'hdlType' => 'std_logic',
17346
            'width' => 1,
17347
          },
17348
          'clk' => {
17349
            'attributes' => {
17350
              'domain' => '',
17351
              'group' => 1,
17352
              'isClk' => 1,
17353
              'is_floating_block' => 1,
17354
              'period' => 1,
17355
              'type' => 'logic',
17356
            },
17357
            'direction' => 'in',
17358
            'hdlType' => 'std_logic',
17359
            'width' => 1,
17360
          },
17361
          'clr' => {
17362
            'attributes' => {
17363
              'domain' => '',
17364
              'group' => 1,
17365
              'isClr' => 1,
17366
              'is_floating_block' => 1,
17367
              'period' => 1,
17368
              'type' => 'logic',
17369
              'valid_bit_used' => 0,
17370
            },
17371
            'direction' => 'in',
17372
            'hdlType' => 'std_logic',
17373
            'width' => 1,
17374
          },
17375
          'data_in' => {
17376
            'attributes' => {
17377
              'bin_pt' => 0,
17378
              'is_floating_block' => 1,
17379
              'must_be_hdl_vector' => 1,
17380
              'period' => 1,
17381
              'port_id' => 0,
17382
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/data_in',
17383
              'type' => 'UFix_32_0',
17384
            },
17385
            'direction' => 'in',
17386
            'hdlType' => 'std_logic_vector(31 downto 0)',
17387
            'width' => 32,
17388
          },
17389
          'dout' => {
17390
            'attributes' => {
17391
              'bin_pt' => 0,
17392
              'is_floating_block' => 1,
17393
              'must_be_hdl_vector' => 1,
17394
              'period' => 1,
17395
              'port_id' => 0,
17396
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/dout',
17397
              'type' => 'UFix_32_0',
17398
            },
17399
            'direction' => 'out',
17400
            'hdlType' => 'std_logic_vector(31 downto 0)',
17401
            'width' => 32,
17402
          },
17403
          'en' => {
17404
            'attributes' => {
17405
              'bin_pt' => 0,
17406
              'is_floating_block' => 1,
17407
              'must_be_hdl_vector' => 1,
17408
              'period' => 1,
17409
              'port_id' => 1,
17410
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register28/en',
17411
              'type' => 'Bool',
17412
            },
17413
            'direction' => 'in',
17414
            'hdlType' => 'std_logic_vector(0 downto 0)',
17415
            'width' => 1,
17416
          },
17417
        },
17418
      },
17419
      'entityName' => 'x_x19',
17420
    },
17421
    'to_register29' => {
17422
      'connections' => {
17423
        'ce' => 'sysgen_dut.to_register29_ce',
17424
        'clk' => 'sysgen_dut.to_register29_clk',
17425
        'clr' => 'sysgen_dut.to_register29_clr',
17426
        'data_in' => 'sysgen_dut.to_register29_data_in',
17427
        'dout' => 'to_register29.dout',
17428
        'en' => 'sysgen_dut.to_register29_en',
17429
      },
17430
      'entity' => {
17431
        'attributes' => {
17432
          'entityAlreadyNetlisted' => 1,
17433
          'generics' => [
17434
          ],
17435
          'is_floating_block' => 1,
17436
          'mask' => {
17437
            'Block_Handle' => 2139.00048828125,
17438
            'Block_handle' => 2139.00048828125,
17439
            'MDL_Handle' => 2083.00048828125,
17440
            'MDL_handle' => 2083.00048828125,
17441
            'arith_type' => 1,
17442
            'bin_pt' => 14,
17443
            'block_config' => 'sysgen_blockset:toreg_config',
17444
            'block_handle' => 2139.00048828125,
17445
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
17446
            'block_type' => 'toreg',
17447
            'dbl_ovrd' => 0,
17448
            'explicit_data_type' => 0,
17449
            'gui_display_data_type' => 1,
17450
            'init' => 0,
17451
            'init_bit_vector' => '\'b0',
17452
            'mdl_handle' => 2083.00048828125,
17453
            'model_handle' => 2083.00048828125,
17454
            'n_bits' => 16,
17455
            'ownership' => 1,
17456
            'preci_type' => 1,
17457
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17458
            'shared_memory_name' => 'register12tv',
17459
          },
17460
          'needs_vhdl_wrapper' => 0,
17461
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29',
17462
        },
17463
        'entityName' => 'x_x20',
17464
        'ports' => {
17465
          'ce' => {
17466
            'attributes' => {
17467
              'domain' => '',
17468
              'group' => 1,
17469
              'isCe' => 1,
17470
              'is_floating_block' => 1,
17471
              'period' => 1,
17472
              'type' => 'logic',
17473
            },
17474
            'direction' => 'in',
17475
            'hdlType' => 'std_logic',
17476
            'width' => 1,
17477
          },
17478
          'clk' => {
17479
            'attributes' => {
17480
              'domain' => '',
17481
              'group' => 1,
17482
              'isClk' => 1,
17483
              'is_floating_block' => 1,
17484
              'period' => 1,
17485
              'type' => 'logic',
17486
            },
17487
            'direction' => 'in',
17488
            'hdlType' => 'std_logic',
17489
            'width' => 1,
17490
          },
17491
          'clr' => {
17492
            'attributes' => {
17493
              'domain' => '',
17494
              'group' => 1,
17495
              'isClr' => 1,
17496
              'is_floating_block' => 1,
17497
              'period' => 1,
17498
              'type' => 'logic',
17499
              'valid_bit_used' => 0,
17500
            },
17501
            'direction' => 'in',
17502
            'hdlType' => 'std_logic',
17503
            'width' => 1,
17504
          },
17505
          'data_in' => {
17506
            'attributes' => {
17507
              'bin_pt' => 0,
17508
              'is_floating_block' => 1,
17509
              'must_be_hdl_vector' => 1,
17510
              'period' => 1,
17511
              'port_id' => 0,
17512
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/data_in',
17513
              'type' => 'Bool',
17514
            },
17515
            'direction' => 'in',
17516
            'hdlType' => 'std_logic_vector(0 downto 0)',
17517
            'width' => 1,
17518
          },
17519
          'dout' => {
17520
            'attributes' => {
17521
              'bin_pt' => 0,
17522
              'is_floating_block' => 1,
17523
              'must_be_hdl_vector' => 1,
17524
              'period' => 1,
17525
              'port_id' => 0,
17526
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/dout',
17527
              'type' => 'Bool',
17528
            },
17529
            'direction' => 'out',
17530
            'hdlType' => 'std_logic_vector(0 downto 0)',
17531
            'width' => 1,
17532
          },
17533
          'en' => {
17534
            'attributes' => {
17535
              'bin_pt' => 0,
17536
              'is_floating_block' => 1,
17537
              'must_be_hdl_vector' => 1,
17538
              'period' => 1,
17539
              'port_id' => 1,
17540
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register29/en',
17541
              'type' => 'Bool',
17542
            },
17543
            'direction' => 'in',
17544
            'hdlType' => 'std_logic_vector(0 downto 0)',
17545
            'width' => 1,
17546
          },
17547
        },
17548
      },
17549
      'entityName' => 'x_x20',
17550
    },
17551
    'to_register3' => {
17552
      'connections' => {
17553
        'ce' => 'sysgen_dut.to_register3_ce',
17554
        'clk' => 'sysgen_dut.to_register3_clk',
17555
        'clr' => 'sysgen_dut.to_register3_clr',
17556
        'data_in' => 'sysgen_dut.to_register3_data_in',
17557
        'dout' => 'to_register3.dout',
17558
        'en' => 'sysgen_dut.to_register3_en',
17559
      },
17560
      'entity' => {
17561
        'attributes' => {
17562
          'entityAlreadyNetlisted' => 1,
17563
          'generics' => [
17564
          ],
17565
          'is_floating_block' => 1,
17566
          'mask' => {
17567
            'Block_Handle' => 2140.00048828125,
17568
            'Block_handle' => 2140.00048828125,
17569
            'MDL_Handle' => 2083.00048828125,
17570
            'MDL_handle' => 2083.00048828125,
17571
            'arith_type' => 1,
17572
            'bin_pt' => 14,
17573
            'block_config' => 'sysgen_blockset:toreg_config',
17574
            'block_handle' => 2140.00048828125,
17575
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
17576
            'block_type' => 'toreg',
17577
            'dbl_ovrd' => 0,
17578
            'explicit_data_type' => 0,
17579
            'gui_display_data_type' => 1,
17580
            'init' => 0,
17581
            'init_bit_vector' => '\'b0',
17582
            'mdl_handle' => 2083.00048828125,
17583
            'model_handle' => 2083.00048828125,
17584
            'n_bits' => 16,
17585
            'ownership' => 1,
17586
            'preci_type' => 1,
17587
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17588
            'shared_memory_name' => 'register01tv',
17589
          },
17590
          'needs_vhdl_wrapper' => 0,
17591
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3',
17592
        },
17593
        'entityName' => 'x_x21',
17594
        'ports' => {
17595
          'ce' => {
17596
            'attributes' => {
17597
              'domain' => '',
17598
              'group' => 1,
17599
              'isCe' => 1,
17600
              'is_floating_block' => 1,
17601
              'period' => 1,
17602
              'type' => 'logic',
17603
            },
17604
            'direction' => 'in',
17605
            'hdlType' => 'std_logic',
17606
            'width' => 1,
17607
          },
17608
          'clk' => {
17609
            'attributes' => {
17610
              'domain' => '',
17611
              'group' => 1,
17612
              'isClk' => 1,
17613
              'is_floating_block' => 1,
17614
              'period' => 1,
17615
              'type' => 'logic',
17616
            },
17617
            'direction' => 'in',
17618
            'hdlType' => 'std_logic',
17619
            'width' => 1,
17620
          },
17621
          'clr' => {
17622
            'attributes' => {
17623
              'domain' => '',
17624
              'group' => 1,
17625
              'isClr' => 1,
17626
              'is_floating_block' => 1,
17627
              'period' => 1,
17628
              'type' => 'logic',
17629
              'valid_bit_used' => 0,
17630
            },
17631
            'direction' => 'in',
17632
            'hdlType' => 'std_logic',
17633
            'width' => 1,
17634
          },
17635
          'data_in' => {
17636
            'attributes' => {
17637
              'bin_pt' => 0,
17638
              'is_floating_block' => 1,
17639
              'must_be_hdl_vector' => 1,
17640
              'period' => 1,
17641
              'port_id' => 0,
17642
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/data_in',
17643
              'type' => 'Bool',
17644
            },
17645
            'direction' => 'in',
17646
            'hdlType' => 'std_logic_vector(0 downto 0)',
17647
            'width' => 1,
17648
          },
17649
          'dout' => {
17650
            'attributes' => {
17651
              'bin_pt' => 0,
17652
              'is_floating_block' => 1,
17653
              'must_be_hdl_vector' => 1,
17654
              'period' => 1,
17655
              'port_id' => 0,
17656
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/dout',
17657
              'type' => 'Bool',
17658
            },
17659
            'direction' => 'out',
17660
            'hdlType' => 'std_logic_vector(0 downto 0)',
17661
            'width' => 1,
17662
          },
17663
          'en' => {
17664
            'attributes' => {
17665
              'bin_pt' => 0,
17666
              'is_floating_block' => 1,
17667
              'must_be_hdl_vector' => 1,
17668
              'period' => 1,
17669
              'port_id' => 1,
17670
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register3/en',
17671
              'type' => 'Bool',
17672
            },
17673
            'direction' => 'in',
17674
            'hdlType' => 'std_logic_vector(0 downto 0)',
17675
            'width' => 1,
17676
          },
17677
        },
17678
      },
17679
      'entityName' => 'x_x21',
17680
    },
17681
    'to_register30' => {
17682
      'connections' => {
17683
        'ce' => 'sysgen_dut.to_register30_ce',
17684
        'clk' => 'sysgen_dut.to_register30_clk',
17685
        'clr' => 'sysgen_dut.to_register30_clr',
17686
        'data_in' => 'sysgen_dut.to_register30_data_in',
17687
        'dout' => 'to_register30.dout',
17688
        'en' => 'sysgen_dut.to_register30_en',
17689
      },
17690
      'entity' => {
17691
        'attributes' => {
17692
          'entityAlreadyNetlisted' => 1,
17693
          'generics' => [
17694
          ],
17695
          'is_floating_block' => 1,
17696
          'mask' => {
17697
            'Block_Handle' => 2141.00048828125,
17698
            'Block_handle' => 2141.00048828125,
17699
            'MDL_Handle' => 2083.00048828125,
17700
            'MDL_handle' => 2083.00048828125,
17701
            'arith_type' => 1,
17702
            'bin_pt' => 14,
17703
            'block_config' => 'sysgen_blockset:toreg_config',
17704
            'block_handle' => 2141.00048828125,
17705
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
17706
            'block_type' => 'toreg',
17707
            'dbl_ovrd' => 0,
17708
            'explicit_data_type' => 0,
17709
            'gui_display_data_type' => 1,
17710
            'init' => 0,
17711
            'init_bit_vector' => '\'b00000000000000000000000000000000',
17712
            'mdl_handle' => 2083.00048828125,
17713
            'model_handle' => 2083.00048828125,
17714
            'n_bits' => 16,
17715
            'ownership' => 1,
17716
            'preci_type' => 1,
17717
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17718
            'shared_memory_name' => 'register12td',
17719
          },
17720
          'needs_vhdl_wrapper' => 0,
17721
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30',
17722
        },
17723
        'entityName' => 'x_x22',
17724
        'ports' => {
17725
          'ce' => {
17726
            'attributes' => {
17727
              'domain' => '',
17728
              'group' => 1,
17729
              'isCe' => 1,
17730
              'is_floating_block' => 1,
17731
              'period' => 1,
17732
              'type' => 'logic',
17733
            },
17734
            'direction' => 'in',
17735
            'hdlType' => 'std_logic',
17736
            'width' => 1,
17737
          },
17738
          'clk' => {
17739
            'attributes' => {
17740
              'domain' => '',
17741
              'group' => 1,
17742
              'isClk' => 1,
17743
              'is_floating_block' => 1,
17744
              'period' => 1,
17745
              'type' => 'logic',
17746
            },
17747
            'direction' => 'in',
17748
            'hdlType' => 'std_logic',
17749
            'width' => 1,
17750
          },
17751
          'clr' => {
17752
            'attributes' => {
17753
              'domain' => '',
17754
              'group' => 1,
17755
              'isClr' => 1,
17756
              'is_floating_block' => 1,
17757
              'period' => 1,
17758
              'type' => 'logic',
17759
              'valid_bit_used' => 0,
17760
            },
17761
            'direction' => 'in',
17762
            'hdlType' => 'std_logic',
17763
            'width' => 1,
17764
          },
17765
          'data_in' => {
17766
            'attributes' => {
17767
              'bin_pt' => 0,
17768
              'is_floating_block' => 1,
17769
              'must_be_hdl_vector' => 1,
17770
              'period' => 1,
17771
              'port_id' => 0,
17772
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/data_in',
17773
              'type' => 'UFix_32_0',
17774
            },
17775
            'direction' => 'in',
17776
            'hdlType' => 'std_logic_vector(31 downto 0)',
17777
            'width' => 32,
17778
          },
17779
          'dout' => {
17780
            'attributes' => {
17781
              'bin_pt' => 0,
17782
              'is_floating_block' => 1,
17783
              'must_be_hdl_vector' => 1,
17784
              'period' => 1,
17785
              'port_id' => 0,
17786
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/dout',
17787
              'type' => 'UFix_32_0',
17788
            },
17789
            'direction' => 'out',
17790
            'hdlType' => 'std_logic_vector(31 downto 0)',
17791
            'width' => 32,
17792
          },
17793
          'en' => {
17794
            'attributes' => {
17795
              'bin_pt' => 0,
17796
              'is_floating_block' => 1,
17797
              'must_be_hdl_vector' => 1,
17798
              'period' => 1,
17799
              'port_id' => 1,
17800
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register30/en',
17801
              'type' => 'Bool',
17802
            },
17803
            'direction' => 'in',
17804
            'hdlType' => 'std_logic_vector(0 downto 0)',
17805
            'width' => 1,
17806
          },
17807
        },
17808
      },
17809
      'entityName' => 'x_x22',
17810
    },
17811
    'to_register31' => {
17812
      'connections' => {
17813
        'ce' => 'sysgen_dut.to_register31_ce',
17814
        'clk' => 'sysgen_dut.to_register31_clk',
17815
        'clr' => 'sysgen_dut.to_register31_clr',
17816
        'data_in' => 'sysgen_dut.to_register31_data_in',
17817
        'dout' => 'to_register31.dout',
17818
        'en' => 'sysgen_dut.to_register31_en',
17819
      },
17820
      'entity' => {
17821
        'attributes' => {
17822
          'entityAlreadyNetlisted' => 1,
17823
          'generics' => [
17824
          ],
17825
          'is_floating_block' => 1,
17826
          'mask' => {
17827
            'Block_Handle' => 2142.00048828125,
17828
            'Block_handle' => 2142.00048828125,
17829
            'MDL_Handle' => 2083.00048828125,
17830
            'MDL_handle' => 2083.00048828125,
17831
            'arith_type' => 1,
17832
            'bin_pt' => 14,
17833
            'block_config' => 'sysgen_blockset:toreg_config',
17834
            'block_handle' => 2142.00048828125,
17835
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
17836
            'block_type' => 'toreg',
17837
            'dbl_ovrd' => 0,
17838
            'explicit_data_type' => 0,
17839
            'gui_display_data_type' => 1,
17840
            'init' => 0,
17841
            'init_bit_vector' => '\'b0',
17842
            'mdl_handle' => 2083.00048828125,
17843
            'model_handle' => 2083.00048828125,
17844
            'n_bits' => 16,
17845
            'ownership' => 1,
17846
            'preci_type' => 1,
17847
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17848
            'shared_memory_name' => 'register13tv',
17849
          },
17850
          'needs_vhdl_wrapper' => 0,
17851
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31',
17852
        },
17853
        'entityName' => 'x_x23',
17854
        'ports' => {
17855
          'ce' => {
17856
            'attributes' => {
17857
              'domain' => '',
17858
              'group' => 1,
17859
              'isCe' => 1,
17860
              'is_floating_block' => 1,
17861
              'period' => 1,
17862
              'type' => 'logic',
17863
            },
17864
            'direction' => 'in',
17865
            'hdlType' => 'std_logic',
17866
            'width' => 1,
17867
          },
17868
          'clk' => {
17869
            'attributes' => {
17870
              'domain' => '',
17871
              'group' => 1,
17872
              'isClk' => 1,
17873
              'is_floating_block' => 1,
17874
              'period' => 1,
17875
              'type' => 'logic',
17876
            },
17877
            'direction' => 'in',
17878
            'hdlType' => 'std_logic',
17879
            'width' => 1,
17880
          },
17881
          'clr' => {
17882
            'attributes' => {
17883
              'domain' => '',
17884
              'group' => 1,
17885
              'isClr' => 1,
17886
              'is_floating_block' => 1,
17887
              'period' => 1,
17888
              'type' => 'logic',
17889
              'valid_bit_used' => 0,
17890
            },
17891
            'direction' => 'in',
17892
            'hdlType' => 'std_logic',
17893
            'width' => 1,
17894
          },
17895
          'data_in' => {
17896
            'attributes' => {
17897
              'bin_pt' => 0,
17898
              'is_floating_block' => 1,
17899
              'must_be_hdl_vector' => 1,
17900
              'period' => 1,
17901
              'port_id' => 0,
17902
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/data_in',
17903
              'type' => 'Bool',
17904
            },
17905
            'direction' => 'in',
17906
            'hdlType' => 'std_logic_vector(0 downto 0)',
17907
            'width' => 1,
17908
          },
17909
          'dout' => {
17910
            'attributes' => {
17911
              'bin_pt' => 0,
17912
              'is_floating_block' => 1,
17913
              'must_be_hdl_vector' => 1,
17914
              'period' => 1,
17915
              'port_id' => 0,
17916
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/dout',
17917
              'type' => 'Bool',
17918
            },
17919
            'direction' => 'out',
17920
            'hdlType' => 'std_logic_vector(0 downto 0)',
17921
            'width' => 1,
17922
          },
17923
          'en' => {
17924
            'attributes' => {
17925
              'bin_pt' => 0,
17926
              'is_floating_block' => 1,
17927
              'must_be_hdl_vector' => 1,
17928
              'period' => 1,
17929
              'port_id' => 1,
17930
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register31/en',
17931
              'type' => 'Bool',
17932
            },
17933
            'direction' => 'in',
17934
            'hdlType' => 'std_logic_vector(0 downto 0)',
17935
            'width' => 1,
17936
          },
17937
        },
17938
      },
17939
      'entityName' => 'x_x23',
17940
    },
17941
    'to_register32' => {
17942
      'connections' => {
17943
        'ce' => 'sysgen_dut.to_register32_ce',
17944
        'clk' => 'sysgen_dut.to_register32_clk',
17945
        'clr' => 'sysgen_dut.to_register32_clr',
17946
        'data_in' => 'sysgen_dut.to_register32_data_in',
17947
        'dout' => 'to_register32.dout',
17948
        'en' => 'sysgen_dut.to_register32_en',
17949
      },
17950
      'entity' => {
17951
        'attributes' => {
17952
          'entityAlreadyNetlisted' => 1,
17953
          'generics' => [
17954
          ],
17955
          'is_floating_block' => 1,
17956
          'mask' => {
17957
            'Block_Handle' => 2143.00048828125,
17958
            'Block_handle' => 2143.00048828125,
17959
            'MDL_Handle' => 2083.00048828125,
17960
            'MDL_handle' => 2083.00048828125,
17961
            'arith_type' => 1,
17962
            'bin_pt' => 14,
17963
            'block_config' => 'sysgen_blockset:toreg_config',
17964
            'block_handle' => 2143.00048828125,
17965
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
17966
            'block_type' => 'toreg',
17967
            'dbl_ovrd' => 0,
17968
            'explicit_data_type' => 0,
17969
            'gui_display_data_type' => 1,
17970
            'init' => 0,
17971
            'init_bit_vector' => '\'b00000000000000000000000000000000',
17972
            'mdl_handle' => 2083.00048828125,
17973
            'model_handle' => 2083.00048828125,
17974
            'n_bits' => 16,
17975
            'ownership' => 1,
17976
            'preci_type' => 1,
17977
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
17978
            'shared_memory_name' => 'register13td',
17979
          },
17980
          'needs_vhdl_wrapper' => 0,
17981
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32',
17982
        },
17983
        'entityName' => 'x_x24',
17984
        'ports' => {
17985
          'ce' => {
17986
            'attributes' => {
17987
              'domain' => '',
17988
              'group' => 1,
17989
              'isCe' => 1,
17990
              'is_floating_block' => 1,
17991
              'period' => 1,
17992
              'type' => 'logic',
17993
            },
17994
            'direction' => 'in',
17995
            'hdlType' => 'std_logic',
17996
            'width' => 1,
17997
          },
17998
          'clk' => {
17999
            'attributes' => {
18000
              'domain' => '',
18001
              'group' => 1,
18002
              'isClk' => 1,
18003
              'is_floating_block' => 1,
18004
              'period' => 1,
18005
              'type' => 'logic',
18006
            },
18007
            'direction' => 'in',
18008
            'hdlType' => 'std_logic',
18009
            'width' => 1,
18010
          },
18011
          'clr' => {
18012
            'attributes' => {
18013
              'domain' => '',
18014
              'group' => 1,
18015
              'isClr' => 1,
18016
              'is_floating_block' => 1,
18017
              'period' => 1,
18018
              'type' => 'logic',
18019
              'valid_bit_used' => 0,
18020
            },
18021
            'direction' => 'in',
18022
            'hdlType' => 'std_logic',
18023
            'width' => 1,
18024
          },
18025
          'data_in' => {
18026
            'attributes' => {
18027
              'bin_pt' => 0,
18028
              'is_floating_block' => 1,
18029
              'must_be_hdl_vector' => 1,
18030
              'period' => 1,
18031
              'port_id' => 0,
18032
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/data_in',
18033
              'type' => 'UFix_32_0',
18034
            },
18035
            'direction' => 'in',
18036
            'hdlType' => 'std_logic_vector(31 downto 0)',
18037
            'width' => 32,
18038
          },
18039
          'dout' => {
18040
            'attributes' => {
18041
              'bin_pt' => 0,
18042
              'is_floating_block' => 1,
18043
              'must_be_hdl_vector' => 1,
18044
              'period' => 1,
18045
              'port_id' => 0,
18046
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/dout',
18047
              'type' => 'UFix_32_0',
18048
            },
18049
            'direction' => 'out',
18050
            'hdlType' => 'std_logic_vector(31 downto 0)',
18051
            'width' => 32,
18052
          },
18053
          'en' => {
18054
            'attributes' => {
18055
              'bin_pt' => 0,
18056
              'is_floating_block' => 1,
18057
              'must_be_hdl_vector' => 1,
18058
              'period' => 1,
18059
              'port_id' => 1,
18060
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register32/en',
18061
              'type' => 'Bool',
18062
            },
18063
            'direction' => 'in',
18064
            'hdlType' => 'std_logic_vector(0 downto 0)',
18065
            'width' => 1,
18066
          },
18067
        },
18068
      },
18069
      'entityName' => 'x_x24',
18070
    },
18071
    'to_register33' => {
18072
      'connections' => {
18073
        'ce' => 'sysgen_dut.to_register33_ce',
18074
        'clk' => 'sysgen_dut.to_register33_clk',
18075
        'clr' => 'sysgen_dut.to_register33_clr',
18076
        'data_in' => 'sysgen_dut.to_register33_data_in',
18077
        'dout' => 'to_register33.dout',
18078
        'en' => 'sysgen_dut.to_register33_en',
18079
      },
18080
      'entity' => {
18081
        'attributes' => {
18082
          'entityAlreadyNetlisted' => 1,
18083
          'generics' => [
18084
          ],
18085
          'is_floating_block' => 1,
18086
          'mask' => {
18087
            'Block_Handle' => 2144.00048828125,
18088
            'Block_handle' => 2144.00048828125,
18089
            'MDL_Handle' => 2083.00048828125,
18090
            'MDL_handle' => 2083.00048828125,
18091
            'arith_type' => 1,
18092
            'bin_pt' => 14,
18093
            'block_config' => 'sysgen_blockset:toreg_config',
18094
            'block_handle' => 2144.00048828125,
18095
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
18096
            'block_type' => 'toreg',
18097
            'dbl_ovrd' => 0,
18098
            'explicit_data_type' => 0,
18099
            'gui_display_data_type' => 1,
18100
            'init' => 0,
18101
            'init_bit_vector' => '\'b0',
18102
            'mdl_handle' => 2083.00048828125,
18103
            'model_handle' => 2083.00048828125,
18104
            'n_bits' => 16,
18105
            'ownership' => 1,
18106
            'preci_type' => 1,
18107
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
18108
            'shared_memory_name' => 'register14tv',
18109
          },
18110
          'needs_vhdl_wrapper' => 0,
18111
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33',
18112
        },
18113
        'entityName' => 'x_x25',
18114
        'ports' => {
18115
          'ce' => {
18116
            'attributes' => {
18117
              'domain' => '',
18118
              'group' => 1,
18119
              'isCe' => 1,
18120
              'is_floating_block' => 1,
18121
              'period' => 1,
18122
              'type' => 'logic',
18123
            },
18124
            'direction' => 'in',
18125
            'hdlType' => 'std_logic',
18126
            'width' => 1,
18127
          },
18128
          'clk' => {
18129
            'attributes' => {
18130
              'domain' => '',
18131
              'group' => 1,
18132
              'isClk' => 1,
18133
              'is_floating_block' => 1,
18134
              'period' => 1,
18135
              'type' => 'logic',
18136
            },
18137
            'direction' => 'in',
18138
            'hdlType' => 'std_logic',
18139
            'width' => 1,
18140
          },
18141
          'clr' => {
18142
            'attributes' => {
18143
              'domain' => '',
18144
              'group' => 1,
18145
              'isClr' => 1,
18146
              'is_floating_block' => 1,
18147
              'period' => 1,
18148
              'type' => 'logic',
18149
              'valid_bit_used' => 0,
18150
            },
18151
            'direction' => 'in',
18152
            'hdlType' => 'std_logic',
18153
            'width' => 1,
18154
          },
18155
          'data_in' => {
18156
            'attributes' => {
18157
              'bin_pt' => 0,
18158
              'is_floating_block' => 1,
18159
              'must_be_hdl_vector' => 1,
18160
              'period' => 1,
18161
              'port_id' => 0,
18162
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/data_in',
18163
              'type' => 'Bool',
18164
            },
18165
            'direction' => 'in',
18166
            'hdlType' => 'std_logic_vector(0 downto 0)',
18167
            'width' => 1,
18168
          },
18169
          'dout' => {
18170
            'attributes' => {
18171
              'bin_pt' => 0,
18172
              'is_floating_block' => 1,
18173
              'must_be_hdl_vector' => 1,
18174
              'period' => 1,
18175
              'port_id' => 0,
18176
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/dout',
18177
              'type' => 'Bool',
18178
            },
18179
            'direction' => 'out',
18180
            'hdlType' => 'std_logic_vector(0 downto 0)',
18181
            'width' => 1,
18182
          },
18183
          'en' => {
18184
            'attributes' => {
18185
              'bin_pt' => 0,
18186
              'is_floating_block' => 1,
18187
              'must_be_hdl_vector' => 1,
18188
              'period' => 1,
18189
              'port_id' => 1,
18190
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register33/en',
18191
              'type' => 'Bool',
18192
            },
18193
            'direction' => 'in',
18194
            'hdlType' => 'std_logic_vector(0 downto 0)',
18195
            'width' => 1,
18196
          },
18197
        },
18198
      },
18199
      'entityName' => 'x_x25',
18200
    },
18201
    'to_register34' => {
18202
      'connections' => {
18203
        'ce' => 'sysgen_dut.to_register34_ce',
18204
        'clk' => 'sysgen_dut.to_register34_clk',
18205
        'clr' => 'sysgen_dut.to_register34_clr',
18206
        'data_in' => 'sysgen_dut.to_register34_data_in',
18207
        'dout' => 'to_register34.dout',
18208
        'en' => 'sysgen_dut.to_register34_en',
18209
      },
18210
      'entity' => {
18211
        'attributes' => {
18212
          'entityAlreadyNetlisted' => 1,
18213
          'generics' => [
18214
          ],
18215
          'is_floating_block' => 1,
18216
          'mask' => {
18217
            'Block_Handle' => 2145.00048828125,
18218
            'Block_handle' => 2145.00048828125,
18219
            'MDL_Handle' => 2083.00048828125,
18220
            'MDL_handle' => 2083.00048828125,
18221
            'arith_type' => 1,
18222
            'bin_pt' => 14,
18223
            'block_config' => 'sysgen_blockset:toreg_config',
18224
            'block_handle' => 2145.00048828125,
18225
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
18226
            'block_type' => 'toreg',
18227
            'dbl_ovrd' => 0,
18228
            'explicit_data_type' => 0,
18229
            'gui_display_data_type' => 1,
18230
            'init' => 0,
18231
            'init_bit_vector' => '\'b00000000000000000000000000000000',
18232
            'mdl_handle' => 2083.00048828125,
18233
            'model_handle' => 2083.00048828125,
18234
            'n_bits' => 16,
18235
            'ownership' => 1,
18236
            'preci_type' => 1,
18237
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
18238
            'shared_memory_name' => 'register14td',
18239
          },
18240
          'needs_vhdl_wrapper' => 0,
18241
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34',
18242
        },
18243
        'entityName' => 'x_x26',
18244
        'ports' => {
18245
          'ce' => {
18246
            'attributes' => {
18247
              'domain' => '',
18248
              'group' => 1,
18249
              'isCe' => 1,
18250
              'is_floating_block' => 1,
18251
              'period' => 1,
18252
              'type' => 'logic',
18253
            },
18254
            'direction' => 'in',
18255
            'hdlType' => 'std_logic',
18256
            'width' => 1,
18257
          },
18258
          'clk' => {
18259
            'attributes' => {
18260
              'domain' => '',
18261
              'group' => 1,
18262
              'isClk' => 1,
18263
              'is_floating_block' => 1,
18264
              'period' => 1,
18265
              'type' => 'logic',
18266
            },
18267
            'direction' => 'in',
18268
            'hdlType' => 'std_logic',
18269
            'width' => 1,
18270
          },
18271
          'clr' => {
18272
            'attributes' => {
18273
              'domain' => '',
18274
              'group' => 1,
18275
              'isClr' => 1,
18276
              'is_floating_block' => 1,
18277
              'period' => 1,
18278
              'type' => 'logic',
18279
              'valid_bit_used' => 0,
18280
            },
18281
            'direction' => 'in',
18282
            'hdlType' => 'std_logic',
18283
            'width' => 1,
18284
          },
18285
          'data_in' => {
18286
            'attributes' => {
18287
              'bin_pt' => 0,
18288
              'is_floating_block' => 1,
18289
              'must_be_hdl_vector' => 1,
18290
              'period' => 1,
18291
              'port_id' => 0,
18292
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/data_in',
18293
              'type' => 'UFix_32_0',
18294
            },
18295
            'direction' => 'in',
18296
            'hdlType' => 'std_logic_vector(31 downto 0)',
18297
            'width' => 32,
18298
          },
18299
          'dout' => {
18300
            'attributes' => {
18301
              'bin_pt' => 0,
18302
              'is_floating_block' => 1,
18303
              'must_be_hdl_vector' => 1,
18304
              'period' => 1,
18305
              'port_id' => 0,
18306
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/dout',
18307
              'type' => 'UFix_32_0',
18308
            },
18309
            'direction' => 'out',
18310
            'hdlType' => 'std_logic_vector(31 downto 0)',
18311
            'width' => 32,
18312
          },
18313
          'en' => {
18314
            'attributes' => {
18315
              'bin_pt' => 0,
18316
              'is_floating_block' => 1,
18317
              'must_be_hdl_vector' => 1,
18318
              'period' => 1,
18319
              'port_id' => 1,
18320
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register34/en',
18321
              'type' => 'Bool',
18322
            },
18323
            'direction' => 'in',
18324
            'hdlType' => 'std_logic_vector(0 downto 0)',
18325
            'width' => 1,
18326
          },
18327
        },
18328
      },
18329
      'entityName' => 'x_x26',
18330
    },
18331
    'to_register4' => {
18332
      'connections' => {
18333
        'ce' => 'sysgen_dut.to_register4_ce',
18334
        'clk' => 'sysgen_dut.to_register4_clk',
18335
        'clr' => 'sysgen_dut.to_register4_clr',
18336
        'data_in' => 'sysgen_dut.to_register4_data_in',
18337
        'dout' => 'to_register4.dout',
18338
        'en' => 'sysgen_dut.to_register4_en',
18339
      },
18340
      'entity' => {
18341
        'attributes' => {
18342
          'entityAlreadyNetlisted' => 1,
18343
          'generics' => [
18344
          ],
18345
          'is_floating_block' => 1,
18346
          'mask' => {
18347
            'Block_Handle' => 2146.00048828125,
18348
            'Block_handle' => 2146.00048828125,
18349
            'MDL_Handle' => 2083.00048828125,
18350
            'MDL_handle' => 2083.00048828125,
18351
            'arith_type' => 1,
18352
            'bin_pt' => 14,
18353
            'block_config' => 'sysgen_blockset:toreg_config',
18354
            'block_handle' => 2146.00048828125,
18355
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
18356
            'block_type' => 'toreg',
18357
            'dbl_ovrd' => 0,
18358
            'explicit_data_type' => 0,
18359
            'gui_display_data_type' => 1,
18360
            'init' => 0,
18361
            'init_bit_vector' => '\'b0',
18362
            'mdl_handle' => 2083.00048828125,
18363
            'model_handle' => 2083.00048828125,
18364
            'n_bits' => 16,
18365
            'ownership' => 1,
18366
            'preci_type' => 1,
18367
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
18368
            'shared_memory_name' => 'register02tv',
18369
          },
18370
          'needs_vhdl_wrapper' => 0,
18371
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4',
18372
        },
18373
        'entityName' => 'x_x27',
18374
        'ports' => {
18375
          'ce' => {
18376
            'attributes' => {
18377
              'domain' => '',
18378
              'group' => 1,
18379
              'isCe' => 1,
18380
              'is_floating_block' => 1,
18381
              'period' => 1,
18382
              'type' => 'logic',
18383
            },
18384
            'direction' => 'in',
18385
            'hdlType' => 'std_logic',
18386
            'width' => 1,
18387
          },
18388
          'clk' => {
18389
            'attributes' => {
18390
              'domain' => '',
18391
              'group' => 1,
18392
              'isClk' => 1,
18393
              'is_floating_block' => 1,
18394
              'period' => 1,
18395
              'type' => 'logic',
18396
            },
18397
            'direction' => 'in',
18398
            'hdlType' => 'std_logic',
18399
            'width' => 1,
18400
          },
18401
          'clr' => {
18402
            'attributes' => {
18403
              'domain' => '',
18404
              'group' => 1,
18405
              'isClr' => 1,
18406
              'is_floating_block' => 1,
18407
              'period' => 1,
18408
              'type' => 'logic',
18409
              'valid_bit_used' => 0,
18410
            },
18411
            'direction' => 'in',
18412
            'hdlType' => 'std_logic',
18413
            'width' => 1,
18414
          },
18415
          'data_in' => {
18416
            'attributes' => {
18417
              'bin_pt' => 0,
18418
              'is_floating_block' => 1,
18419
              'must_be_hdl_vector' => 1,
18420
              'period' => 1,
18421
              'port_id' => 0,
18422
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/data_in',
18423
              'type' => 'Bool',
18424
            },
18425
            'direction' => 'in',
18426
            'hdlType' => 'std_logic_vector(0 downto 0)',
18427
            'width' => 1,
18428
          },
18429
          'dout' => {
18430
            'attributes' => {
18431
              'bin_pt' => 0,
18432
              'is_floating_block' => 1,
18433
              'must_be_hdl_vector' => 1,
18434
              'period' => 1,
18435
              'port_id' => 0,
18436
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/dout',
18437
              'type' => 'Bool',
18438
            },
18439
            'direction' => 'out',
18440
            'hdlType' => 'std_logic_vector(0 downto 0)',
18441
            'width' => 1,
18442
          },
18443
          'en' => {
18444
            'attributes' => {
18445
              'bin_pt' => 0,
18446
              'is_floating_block' => 1,
18447
              'must_be_hdl_vector' => 1,
18448
              'period' => 1,
18449
              'port_id' => 1,
18450
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register4/en',
18451
              'type' => 'Bool',
18452
            },
18453
            'direction' => 'in',
18454
            'hdlType' => 'std_logic_vector(0 downto 0)',
18455
            'width' => 1,
18456
          },
18457
        },
18458
      },
18459
      'entityName' => 'x_x27',
18460
    },
18461
    'to_register5' => {
18462
      'connections' => {
18463
        'ce' => 'sysgen_dut.to_register5_ce',
18464
        'clk' => 'sysgen_dut.to_register5_clk',
18465
        'clr' => 'sysgen_dut.to_register5_clr',
18466
        'data_in' => 'sysgen_dut.to_register5_data_in',
18467
        'dout' => 'to_register5.dout',
18468
        'en' => 'sysgen_dut.to_register5_en',
18469
      },
18470
      'entity' => {
18471
        'attributes' => {
18472
          'entityAlreadyNetlisted' => 1,
18473
          'generics' => [
18474
          ],
18475
          'is_floating_block' => 1,
18476
          'mask' => {
18477
            'Block_Handle' => 2147.00048828125,
18478
            'Block_handle' => 2147.00048828125,
18479
            'MDL_Handle' => 2083.00048828125,
18480
            'MDL_handle' => 2083.00048828125,
18481
            'arith_type' => 1,
18482
            'bin_pt' => 14,
18483
            'block_config' => 'sysgen_blockset:toreg_config',
18484
            'block_handle' => 2147.00048828125,
18485
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
18486
            'block_type' => 'toreg',
18487
            'dbl_ovrd' => 0,
18488
            'explicit_data_type' => 0,
18489
            'gui_display_data_type' => 1,
18490
            'init' => 0,
18491
            'init_bit_vector' => '\'b00000000000000000000000000000000',
18492
            'mdl_handle' => 2083.00048828125,
18493
            'model_handle' => 2083.00048828125,
18494
            'n_bits' => 16,
18495
            'ownership' => 1,
18496
            'preci_type' => 1,
18497
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
18498
            'shared_memory_name' => 'register02td',
18499
          },
18500
          'needs_vhdl_wrapper' => 0,
18501
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5',
18502
        },
18503
        'entityName' => 'x_x28',
18504
        'ports' => {
18505
          'ce' => {
18506
            'attributes' => {
18507
              'domain' => '',
18508
              'group' => 1,
18509
              'isCe' => 1,
18510
              'is_floating_block' => 1,
18511
              'period' => 1,
18512
              'type' => 'logic',
18513
            },
18514
            'direction' => 'in',
18515
            'hdlType' => 'std_logic',
18516
            'width' => 1,
18517
          },
18518
          'clk' => {
18519
            'attributes' => {
18520
              'domain' => '',
18521
              'group' => 1,
18522
              'isClk' => 1,
18523
              'is_floating_block' => 1,
18524
              'period' => 1,
18525
              'type' => 'logic',
18526
            },
18527
            'direction' => 'in',
18528
            'hdlType' => 'std_logic',
18529
            'width' => 1,
18530
          },
18531
          'clr' => {
18532
            'attributes' => {
18533
              'domain' => '',
18534
              'group' => 1,
18535
              'isClr' => 1,
18536
              'is_floating_block' => 1,
18537
              'period' => 1,
18538
              'type' => 'logic',
18539
              'valid_bit_used' => 0,
18540
            },
18541
            'direction' => 'in',
18542
            'hdlType' => 'std_logic',
18543
            'width' => 1,
18544
          },
18545
          'data_in' => {
18546
            'attributes' => {
18547
              'bin_pt' => 0,
18548
              'is_floating_block' => 1,
18549
              'must_be_hdl_vector' => 1,
18550
              'period' => 1,
18551
              'port_id' => 0,
18552
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/data_in',
18553
              'type' => 'UFix_32_0',
18554
            },
18555
            'direction' => 'in',
18556
            'hdlType' => 'std_logic_vector(31 downto 0)',
18557
            'width' => 32,
18558
          },
18559
          'dout' => {
18560
            'attributes' => {
18561
              'bin_pt' => 0,
18562
              'is_floating_block' => 1,
18563
              'must_be_hdl_vector' => 1,
18564
              'period' => 1,
18565
              'port_id' => 0,
18566
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/dout',
18567
              'type' => 'UFix_32_0',
18568
            },
18569
            'direction' => 'out',
18570
            'hdlType' => 'std_logic_vector(31 downto 0)',
18571
            'width' => 32,
18572
          },
18573
          'en' => {
18574
            'attributes' => {
18575
              'bin_pt' => 0,
18576
              'is_floating_block' => 1,
18577
              'must_be_hdl_vector' => 1,
18578
              'period' => 1,
18579
              'port_id' => 1,
18580
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register5/en',
18581
              'type' => 'Bool',
18582
            },
18583
            'direction' => 'in',
18584
            'hdlType' => 'std_logic_vector(0 downto 0)',
18585
            'width' => 1,
18586
          },
18587
        },
18588
      },
18589
      'entityName' => 'x_x28',
18590
    },
18591
    'to_register6' => {
18592
      'connections' => {
18593
        'ce' => 'sysgen_dut.to_register6_ce',
18594
        'clk' => 'sysgen_dut.to_register6_clk',
18595
        'clr' => 'sysgen_dut.to_register6_clr',
18596
        'data_in' => 'sysgen_dut.to_register6_data_in',
18597
        'dout' => 'to_register6.dout',
18598
        'en' => 'sysgen_dut.to_register6_en',
18599
      },
18600
      'entity' => {
18601
        'attributes' => {
18602
          'entityAlreadyNetlisted' => 1,
18603
          'generics' => [
18604
          ],
18605
          'is_floating_block' => 1,
18606
          'mask' => {
18607
            'Block_Handle' => 2148.00048828125,
18608
            'Block_handle' => 2148.00048828125,
18609
            'MDL_Handle' => 2083.00048828125,
18610
            'MDL_handle' => 2083.00048828125,
18611
            'arith_type' => 1,
18612
            'bin_pt' => 14,
18613
            'block_config' => 'sysgen_blockset:toreg_config',
18614
            'block_handle' => 2148.00048828125,
18615
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
18616
            'block_type' => 'toreg',
18617
            'dbl_ovrd' => 0,
18618
            'explicit_data_type' => 0,
18619
            'gui_display_data_type' => 1,
18620
            'init' => 0,
18621
            'init_bit_vector' => '\'b00000000000000000000000000000000',
18622
            'mdl_handle' => 2083.00048828125,
18623
            'model_handle' => 2083.00048828125,
18624
            'n_bits' => 16,
18625
            'ownership' => 1,
18626
            'preci_type' => 1,
18627
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
18628
            'shared_memory_name' => 'debug1i',
18629
          },
18630
          'needs_vhdl_wrapper' => 0,
18631
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6',
18632
        },
18633
        'entityName' => 'x_x29',
18634
        'ports' => {
18635
          'ce' => {
18636
            'attributes' => {
18637
              'domain' => '',
18638
              'group' => 1,
18639
              'isCe' => 1,
18640
              'is_floating_block' => 1,
18641
              'period' => 1,
18642
              'type' => 'logic',
18643
            },
18644
            'direction' => 'in',
18645
            'hdlType' => 'std_logic',
18646
            'width' => 1,
18647
          },
18648
          'clk' => {
18649
            'attributes' => {
18650
              'domain' => '',
18651
              'group' => 1,
18652
              'isClk' => 1,
18653
              'is_floating_block' => 1,
18654
              'period' => 1,
18655
              'type' => 'logic',
18656
            },
18657
            'direction' => 'in',
18658
            'hdlType' => 'std_logic',
18659
            'width' => 1,
18660
          },
18661
          'clr' => {
18662
            'attributes' => {
18663
              'domain' => '',
18664
              'group' => 1,
18665
              'isClr' => 1,
18666
              'is_floating_block' => 1,
18667
              'period' => 1,
18668
              'type' => 'logic',
18669
              'valid_bit_used' => 0,
18670
            },
18671
            'direction' => 'in',
18672
            'hdlType' => 'std_logic',
18673
            'width' => 1,
18674
          },
18675
          'data_in' => {
18676
            'attributes' => {
18677
              'bin_pt' => 0,
18678
              'is_floating_block' => 1,
18679
              'must_be_hdl_vector' => 1,
18680
              'period' => 1,
18681
              'port_id' => 0,
18682
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/data_in',
18683
              'type' => 'UFix_32_0',
18684
            },
18685
            'direction' => 'in',
18686
            'hdlType' => 'std_logic_vector(31 downto 0)',
18687
            'width' => 32,
18688
          },
18689
          'dout' => {
18690
            'attributes' => {
18691
              'bin_pt' => 0,
18692
              'is_floating_block' => 1,
18693
              'must_be_hdl_vector' => 1,
18694
              'period' => 1,
18695
              'port_id' => 0,
18696
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/dout',
18697
              'type' => 'UFix_32_0',
18698
            },
18699
            'direction' => 'out',
18700
            'hdlType' => 'std_logic_vector(31 downto 0)',
18701
            'width' => 32,
18702
          },
18703
          'en' => {
18704
            'attributes' => {
18705
              'bin_pt' => 0,
18706
              'is_floating_block' => 1,
18707
              'must_be_hdl_vector' => 1,
18708
              'period' => 1,
18709
              'port_id' => 1,
18710
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register6/en',
18711
              'type' => 'Bool',
18712
            },
18713
            'direction' => 'in',
18714
            'hdlType' => 'std_logic_vector(0 downto 0)',
18715
            'width' => 1,
18716
          },
18717
        },
18718
      },
18719
      'entityName' => 'x_x29',
18720
    },
18721
    'to_register7' => {
18722
      'connections' => {
18723
        'ce' => 'sysgen_dut.to_register7_ce',
18724
        'clk' => 'sysgen_dut.to_register7_clk',
18725
        'clr' => 'sysgen_dut.to_register7_clr',
18726
        'data_in' => 'sysgen_dut.to_register7_data_in',
18727
        'dout' => 'to_register7.dout',
18728
        'en' => 'sysgen_dut.to_register7_en',
18729
      },
18730
      'entity' => {
18731
        'attributes' => {
18732
          'entityAlreadyNetlisted' => 1,
18733
          'generics' => [
18734
          ],
18735
          'is_floating_block' => 1,
18736
          'mask' => {
18737
            'Block_Handle' => 2149.00048828125,
18738
            'Block_handle' => 2149.00048828125,
18739
            'MDL_Handle' => 2083.00048828125,
18740
            'MDL_handle' => 2083.00048828125,
18741
            'arith_type' => 1,
18742
            'bin_pt' => 14,
18743
            'block_config' => 'sysgen_blockset:toreg_config',
18744
            'block_handle' => 2149.00048828125,
18745
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
18746
            'block_type' => 'toreg',
18747
            'dbl_ovrd' => 0,
18748
            'explicit_data_type' => 0,
18749
            'gui_display_data_type' => 1,
18750
            'init' => 0,
18751
            'init_bit_vector' => '\'b00000000000000000000000000000000',
18752
            'mdl_handle' => 2083.00048828125,
18753
            'model_handle' => 2083.00048828125,
18754
            'n_bits' => 16,
18755
            'ownership' => 1,
18756
            'preci_type' => 1,
18757
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
18758
            'shared_memory_name' => 'register01td',
18759
          },
18760
          'needs_vhdl_wrapper' => 0,
18761
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7',
18762
        },
18763
        'entityName' => 'x_x30',
18764
        'ports' => {
18765
          'ce' => {
18766
            'attributes' => {
18767
              'domain' => '',
18768
              'group' => 1,
18769
              'isCe' => 1,
18770
              'is_floating_block' => 1,
18771
              'period' => 1,
18772
              'type' => 'logic',
18773
            },
18774
            'direction' => 'in',
18775
            'hdlType' => 'std_logic',
18776
            'width' => 1,
18777
          },
18778
          'clk' => {
18779
            'attributes' => {
18780
              'domain' => '',
18781
              'group' => 1,
18782
              'isClk' => 1,
18783
              'is_floating_block' => 1,
18784
              'period' => 1,
18785
              'type' => 'logic',
18786
            },
18787
            'direction' => 'in',
18788
            'hdlType' => 'std_logic',
18789
            'width' => 1,
18790
          },
18791
          'clr' => {
18792
            'attributes' => {
18793
              'domain' => '',
18794
              'group' => 1,
18795
              'isClr' => 1,
18796
              'is_floating_block' => 1,
18797
              'period' => 1,
18798
              'type' => 'logic',
18799
              'valid_bit_used' => 0,
18800
            },
18801
            'direction' => 'in',
18802
            'hdlType' => 'std_logic',
18803
            'width' => 1,
18804
          },
18805
          'data_in' => {
18806
            'attributes' => {
18807
              'bin_pt' => 0,
18808
              'is_floating_block' => 1,
18809
              'must_be_hdl_vector' => 1,
18810
              'period' => 1,
18811
              'port_id' => 0,
18812
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/data_in',
18813
              'type' => 'UFix_32_0',
18814
            },
18815
            'direction' => 'in',
18816
            'hdlType' => 'std_logic_vector(31 downto 0)',
18817
            'width' => 32,
18818
          },
18819
          'dout' => {
18820
            'attributes' => {
18821
              'bin_pt' => 0,
18822
              'is_floating_block' => 1,
18823
              'must_be_hdl_vector' => 1,
18824
              'period' => 1,
18825
              'port_id' => 0,
18826
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/dout',
18827
              'type' => 'UFix_32_0',
18828
            },
18829
            'direction' => 'out',
18830
            'hdlType' => 'std_logic_vector(31 downto 0)',
18831
            'width' => 32,
18832
          },
18833
          'en' => {
18834
            'attributes' => {
18835
              'bin_pt' => 0,
18836
              'is_floating_block' => 1,
18837
              'must_be_hdl_vector' => 1,
18838
              'period' => 1,
18839
              'port_id' => 1,
18840
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register7/en',
18841
              'type' => 'Bool',
18842
            },
18843
            'direction' => 'in',
18844
            'hdlType' => 'std_logic_vector(0 downto 0)',
18845
            'width' => 1,
18846
          },
18847
        },
18848
      },
18849
      'entityName' => 'x_x30',
18850
    },
18851
    'to_register8' => {
18852
      'connections' => {
18853
        'ce' => 'sysgen_dut.to_register8_ce',
18854
        'clk' => 'sysgen_dut.to_register8_clk',
18855
        'clr' => 'sysgen_dut.to_register8_clr',
18856
        'data_in' => 'sysgen_dut.to_register8_data_in',
18857
        'dout' => 'to_register8.dout',
18858
        'en' => 'sysgen_dut.to_register8_en',
18859
      },
18860
      'entity' => {
18861
        'attributes' => {
18862
          'entityAlreadyNetlisted' => 1,
18863
          'generics' => [
18864
          ],
18865
          'is_floating_block' => 1,
18866
          'mask' => {
18867
            'Block_Handle' => 2150.00048828125,
18868
            'Block_handle' => 2150.00048828125,
18869
            'MDL_Handle' => 2083.00048828125,
18870
            'MDL_handle' => 2083.00048828125,
18871
            'arith_type' => 1,
18872
            'bin_pt' => 14,
18873
            'block_config' => 'sysgen_blockset:toreg_config',
18874
            'block_handle' => 2150.00048828125,
18875
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
18876
            'block_type' => 'toreg',
18877
            'dbl_ovrd' => 0,
18878
            'explicit_data_type' => 0,
18879
            'gui_display_data_type' => 1,
18880
            'init' => 0,
18881
            'init_bit_vector' => '\'b0',
18882
            'mdl_handle' => 2083.00048828125,
18883
            'model_handle' => 2083.00048828125,
18884
            'n_bits' => 16,
18885
            'ownership' => 1,
18886
            'preci_type' => 1,
18887
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
18888
            'shared_memory_name' => 'register03tv',
18889
          },
18890
          'needs_vhdl_wrapper' => 0,
18891
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8',
18892
        },
18893
        'entityName' => 'x_x31',
18894
        'ports' => {
18895
          'ce' => {
18896
            'attributes' => {
18897
              'domain' => '',
18898
              'group' => 1,
18899
              'isCe' => 1,
18900
              'is_floating_block' => 1,
18901
              'period' => 1,
18902
              'type' => 'logic',
18903
            },
18904
            'direction' => 'in',
18905
            'hdlType' => 'std_logic',
18906
            'width' => 1,
18907
          },
18908
          'clk' => {
18909
            'attributes' => {
18910
              'domain' => '',
18911
              'group' => 1,
18912
              'isClk' => 1,
18913
              'is_floating_block' => 1,
18914
              'period' => 1,
18915
              'type' => 'logic',
18916
            },
18917
            'direction' => 'in',
18918
            'hdlType' => 'std_logic',
18919
            'width' => 1,
18920
          },
18921
          'clr' => {
18922
            'attributes' => {
18923
              'domain' => '',
18924
              'group' => 1,
18925
              'isClr' => 1,
18926
              'is_floating_block' => 1,
18927
              'period' => 1,
18928
              'type' => 'logic',
18929
              'valid_bit_used' => 0,
18930
            },
18931
            'direction' => 'in',
18932
            'hdlType' => 'std_logic',
18933
            'width' => 1,
18934
          },
18935
          'data_in' => {
18936
            'attributes' => {
18937
              'bin_pt' => 0,
18938
              'is_floating_block' => 1,
18939
              'must_be_hdl_vector' => 1,
18940
              'period' => 1,
18941
              'port_id' => 0,
18942
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/data_in',
18943
              'type' => 'Bool',
18944
            },
18945
            'direction' => 'in',
18946
            'hdlType' => 'std_logic_vector(0 downto 0)',
18947
            'width' => 1,
18948
          },
18949
          'dout' => {
18950
            'attributes' => {
18951
              'bin_pt' => 0,
18952
              'is_floating_block' => 1,
18953
              'must_be_hdl_vector' => 1,
18954
              'period' => 1,
18955
              'port_id' => 0,
18956
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/dout',
18957
              'type' => 'Bool',
18958
            },
18959
            'direction' => 'out',
18960
            'hdlType' => 'std_logic_vector(0 downto 0)',
18961
            'width' => 1,
18962
          },
18963
          'en' => {
18964
            'attributes' => {
18965
              'bin_pt' => 0,
18966
              'is_floating_block' => 1,
18967
              'must_be_hdl_vector' => 1,
18968
              'period' => 1,
18969
              'port_id' => 1,
18970
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register8/en',
18971
              'type' => 'Bool',
18972
            },
18973
            'direction' => 'in',
18974
            'hdlType' => 'std_logic_vector(0 downto 0)',
18975
            'width' => 1,
18976
          },
18977
        },
18978
      },
18979
      'entityName' => 'x_x31',
18980
    },
18981
    'to_register9' => {
18982
      'connections' => {
18983
        'ce' => 'sysgen_dut.to_register9_ce',
18984
        'clk' => 'sysgen_dut.to_register9_clk',
18985
        'clr' => 'sysgen_dut.to_register9_clr',
18986
        'data_in' => 'sysgen_dut.to_register9_data_in',
18987
        'dout' => 'to_register9.dout',
18988
        'en' => 'sysgen_dut.to_register9_en',
18989
      },
18990
      'entity' => {
18991
        'attributes' => {
18992
          'entityAlreadyNetlisted' => 1,
18993
          'generics' => [
18994
          ],
18995
          'is_floating_block' => 1,
18996
          'mask' => {
18997
            'Block_Handle' => 2151.00048828125,
18998
            'Block_handle' => 2151.00048828125,
18999
            'MDL_Handle' => 2083.00048828125,
19000
            'MDL_handle' => 2083.00048828125,
19001
            'arith_type' => 1,
19002
            'bin_pt' => 14,
19003
            'block_config' => 'sysgen_blockset:toreg_config',
19004
            'block_handle' => 2151.00048828125,
19005
            'block_name' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
19006
            'block_type' => 'toreg',
19007
            'dbl_ovrd' => 0,
19008
            'explicit_data_type' => 0,
19009
            'gui_display_data_type' => 1,
19010
            'init' => 0,
19011
            'init_bit_vector' => '\'b00000000000000000000000000000000',
19012
            'mdl_handle' => 2083.00048828125,
19013
            'model_handle' => 2083.00048828125,
19014
            'n_bits' => 16,
19015
            'ownership' => 1,
19016
            'preci_type' => 1,
19017
            'sg_icon_stat' => '60,33,2,1,white,blue,0,10ab453e,right,,[ ],[ ]',
19018
            'shared_memory_name' => 'register03td',
19019
          },
19020
          'needs_vhdl_wrapper' => 0,
19021
          'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9',
19022
        },
19023
        'entityName' => 'x_x32',
19024
        'ports' => {
19025
          'ce' => {
19026
            'attributes' => {
19027
              'domain' => '',
19028
              'group' => 1,
19029
              'isCe' => 1,
19030
              'is_floating_block' => 1,
19031
              'period' => 1,
19032
              'type' => 'logic',
19033
            },
19034
            'direction' => 'in',
19035
            'hdlType' => 'std_logic',
19036
            'width' => 1,
19037
          },
19038
          'clk' => {
19039
            'attributes' => {
19040
              'domain' => '',
19041
              'group' => 1,
19042
              'isClk' => 1,
19043
              'is_floating_block' => 1,
19044
              'period' => 1,
19045
              'type' => 'logic',
19046
            },
19047
            'direction' => 'in',
19048
            'hdlType' => 'std_logic',
19049
            'width' => 1,
19050
          },
19051
          'clr' => {
19052
            'attributes' => {
19053
              'domain' => '',
19054
              'group' => 1,
19055
              'isClr' => 1,
19056
              'is_floating_block' => 1,
19057
              'period' => 1,
19058
              'type' => 'logic',
19059
              'valid_bit_used' => 0,
19060
            },
19061
            'direction' => 'in',
19062
            'hdlType' => 'std_logic',
19063
            'width' => 1,
19064
          },
19065
          'data_in' => {
19066
            'attributes' => {
19067
              'bin_pt' => 0,
19068
              'is_floating_block' => 1,
19069
              'must_be_hdl_vector' => 1,
19070
              'period' => 1,
19071
              'port_id' => 0,
19072
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/data_in',
19073
              'type' => 'UFix_32_0',
19074
            },
19075
            'direction' => 'in',
19076
            'hdlType' => 'std_logic_vector(31 downto 0)',
19077
            'width' => 32,
19078
          },
19079
          'dout' => {
19080
            'attributes' => {
19081
              'bin_pt' => 0,
19082
              'is_floating_block' => 1,
19083
              'must_be_hdl_vector' => 1,
19084
              'period' => 1,
19085
              'port_id' => 0,
19086
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/dout',
19087
              'type' => 'UFix_32_0',
19088
            },
19089
            'direction' => 'out',
19090
            'hdlType' => 'std_logic_vector(31 downto 0)',
19091
            'width' => 32,
19092
          },
19093
          'en' => {
19094
            'attributes' => {
19095
              'bin_pt' => 0,
19096
              'is_floating_block' => 1,
19097
              'must_be_hdl_vector' => 1,
19098
              'period' => 1,
19099
              'port_id' => 1,
19100
              'simulinkName' => 'PCIe_UserLogic_00/INOUT_LOGIC/To Register9/en',
19101
              'type' => 'Bool',
19102
            },
19103
            'direction' => 'in',
19104
            'hdlType' => 'std_logic_vector(0 downto 0)',
19105
            'width' => 1,
19106
          },
19107
        },
19108
      },
19109
      'entityName' => 'x_x32',
19110
    },
19111
  },
19112
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.