OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_1_PCIe_UserLogic_00_USER_LOGIC/] [sysgen/] [ila_1_05_a_b6735eb4b876dee5.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
-------------------------------------------------------------------------------
2
-- Copyright (c) 2012 Xilinx, Inc.
3
-- All Rights Reserved
4
-------------------------------------------------------------------------------
5
--   ____  ____
6
--  /   /\/   /
7
-- /___/  \  /    Vendor     : Xilinx
8
-- \   \   \/     Version    : 13.3
9
--  \   \         Application: XILINX CORE Generator
10
--  /   /         Filename   : ila_1_05_a_b6735eb4b876dee5.vhd
11
-- /___/   /\     Timestamp  : Mon Mar 26 13:34:48 ora legale Europa occidentale 2012
12
-- \   \  /  \
13
--  \___\/\___\
14
--
15
-- Design Name: VHDL Synthesis Wrapper
16
-------------------------------------------------------------------------------
17
-- This wrapper is used to integrate with Project Navigator and PlanAhead
18
 
19
LIBRARY ieee;
20
USE ieee.std_logic_1164.ALL;
21
ENTITY ila_1_05_a_b6735eb4b876dee5 IS
22
  port (
23
    CONTROL: inout std_logic_vector(35 downto 0);
24
    CLK: in std_logic;
25
    TRIG0: in std_logic_vector(11 downto 0);
26
    TRIG1: in std_logic_vector(63 downto 0);
27
    TRIG2: in std_logic_vector(0 to 0);
28
    TRIG3: in std_logic_vector(0 to 0);
29
    TRIG4: in std_logic_vector(0 to 0);
30
    TRIG5: in std_logic_vector(71 downto 0);
31
    TRIG6: in std_logic_vector(0 to 0);
32
    TRIG7: in std_logic_vector(14 downto 0);
33
    TRIG8: in std_logic_vector(0 to 0);
34
    TRIG9: in std_logic_vector(0 to 0);
35
    TRIG10: in std_logic_vector(14 downto 0));
36
END ila_1_05_a_b6735eb4b876dee5;
37
 
38
ARCHITECTURE ila_1_05_a_b6735eb4b876dee5_a OF ila_1_05_a_b6735eb4b876dee5 IS
39
BEGIN
40
 
41
END ila_1_05_a_b6735eb4b876dee5_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.