OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [MyUserLogic/] [UserLogic_00/] [top_level_1_PCIe_UserLogic_00_USER_LOGIC/] [user_logic_cw.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
 
2
-------------------------------------------------------------------
3
-- System Generator version 13.2 VHDL source file.
4
--
5
-- Copyright(C) 2011 by Xilinx, Inc.  All rights reserved.  This
6
-- text/file contains proprietary, confidential information of Xilinx,
7
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
8
-- copied and/or disclosed only pursuant to the terms of a valid license
9
-- agreement with Xilinx, Inc.  Xilinx hereby grants you a license to use
10
-- this text/file solely for design, simulation, implementation and
11
-- creation of design files limited to Xilinx devices or technologies.
12
-- Use with non-Xilinx devices or technologies is expressly prohibited
13
-- and immediately terminates your license unless covered by a separate
14
-- agreement.
15
--
16
-- Xilinx is providing this design, code, or information "as is" solely
17
-- for use in developing programs and solutions for Xilinx devices.  By
18
-- providing this design, code, or information as one possible
19
-- implementation of this feature, application or standard, Xilinx is
20
-- making no representation that this implementation is free from any
21
-- claims of infringement.  You are responsible for obtaining any rights
22
-- you may require for your implementation.  Xilinx expressly disclaims
23
-- any warranty whatsoever with respect to the adequacy of the
24
-- implementation, including but not limited to warranties of
25
-- merchantability or fitness for a particular purpose.
26
--
27
-- Xilinx products are not intended for use in life support appliances,
28
-- devices, or systems.  Use in such applications is expressly prohibited.
29
--
30
-- Any modifications that are made to the source code are done at the user's
31
-- sole risk and will be unsupported.
32
--
33
-- This copyright and support notice must be retained as part of this
34
-- text at all times.  (c) Copyright 1995-2011 Xilinx, Inc.  All rights
35
-- reserved.
36
-------------------------------------------------------------------
37
 
38
-------------------------------------------------------------------
39
-- System Generator version 13.2 VHDL source file.
40
--
41
-- Copyright(C) 2011 by Xilinx, Inc.  All rights reserved.  This
42
-- text/file contains proprietary, confidential information of Xilinx,
43
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
44
-- copied and/or disclosed only pursuant to the terms of a valid license
45
-- agreement with Xilinx, Inc.  Xilinx hereby grants you a license to use
46
-- this text/file solely for design, simulation, implementation and
47
-- creation of design files limited to Xilinx devices or technologies.
48
-- Use with non-Xilinx devices or technologies is expressly prohibited
49
-- and immediately terminates your license unless covered by a separate
50
-- agreement.
51
--
52
-- Xilinx is providing this design, code, or information "as is" solely
53
-- for use in developing programs and solutions for Xilinx devices.  By
54
-- providing this design, code, or information as one possible
55
-- implementation of this feature, application or standard, Xilinx is
56
-- making no representation that this implementation is free from any
57
-- claims of infringement.  You are responsible for obtaining any rights
58
-- you may require for your implementation.  Xilinx expressly disclaims
59
-- any warranty whatsoever with respect to the adequacy of the
60
-- implementation, including but not limited to warranties of
61
-- merchantability or fitness for a particular purpose.
62
--
63
-- Xilinx products are not intended for use in life support appliances,
64
-- devices, or systems.  Use in such applications is expressly prohibited.
65
--
66
-- Any modifications that are made to the source code are done at the user's
67
-- sole risk and will be unsupported.
68
--
69
-- This copyright and support notice must be retained as part of this
70
-- text at all times.  (c) Copyright 1995-2011 Xilinx, Inc.  All rights
71
-- reserved.
72
-------------------------------------------------------------------
73
library IEEE;
74
use IEEE.std_logic_1164.all;
75
use IEEE.numeric_std.all;
76
use work.conv_pkg.all;
77
-- synopsys translate_off
78
library unisim;
79
use unisim.vcomponents.all;
80
-- synopsys translate_on
81
entity xlclockdriver is
82
  generic (
83
    period: integer := 2;
84
    log_2_period: integer := 0;
85
    pipeline_regs: integer := 5;
86
    use_bufg: integer := 0
87
  );
88
  port (
89
    sysclk: in std_logic;
90
    sysclr: in std_logic;
91
    sysce: in std_logic;
92
    clk: out std_logic;
93
    clr: out std_logic;
94
    ce: out std_logic;
95
    ce_logic: out std_logic
96
  );
97
end xlclockdriver;
98
architecture behavior of xlclockdriver is
99
  component bufg
100
    port (
101
      i: in std_logic;
102
      o: out std_logic
103
    );
104
  end component;
105
  component synth_reg_w_init
106
    generic (
107
      width: integer;
108
      init_index: integer;
109
      init_value: bit_vector;
110
      latency: integer
111
    );
112
    port (
113
      i: in std_logic_vector(width - 1 downto 0);
114
      ce: in std_logic;
115
      clr: in std_logic;
116
      clk: in std_logic;
117
      o: out std_logic_vector(width - 1 downto 0)
118
    );
119
  end component;
120
  function size_of_uint(inp: integer; power_of_2: boolean)
121
    return integer
122
  is
123
    constant inp_vec: std_logic_vector(31 downto 0) :=
124
      integer_to_std_logic_vector(inp,32, xlUnsigned);
125
    variable result: integer;
126
  begin
127
    result := 32;
128
    for i in 0 to 31 loop
129
      if inp_vec(i) = '1' then
130
        result := i;
131
      end if;
132
    end loop;
133
    if power_of_2 then
134
      return result;
135
    else
136
      return result+1;
137
    end if;
138
  end;
139
  function is_power_of_2(inp: std_logic_vector)
140
    return boolean
141
  is
142
    constant width: integer := inp'length;
143
    variable vec: std_logic_vector(width - 1 downto 0);
144
    variable single_bit_set: boolean;
145
    variable more_than_one_bit_set: boolean;
146
    variable result: boolean;
147
  begin
148
    vec := inp;
149
    single_bit_set := false;
150
    more_than_one_bit_set := false;
151
    -- synopsys translate_off
152
    if (is_XorU(vec)) then
153
      return false;
154
    end if;
155
     -- synopsys translate_on
156
    if width > 0 then
157
      for i in 0 to width - 1 loop
158
        if vec(i) = '1' then
159
          if single_bit_set then
160
            more_than_one_bit_set := true;
161
          end if;
162
          single_bit_set := true;
163
        end if;
164
      end loop;
165
    end if;
166
    if (single_bit_set and not(more_than_one_bit_set)) then
167
      result := true;
168
    else
169
      result := false;
170
    end if;
171
    return result;
172
  end;
173
  function ce_reg_init_val(index, period : integer)
174
    return integer
175
  is
176
     variable result: integer;
177
   begin
178
      result := 0;
179
      if ((index mod period) = 0) then
180
          result := 1;
181
      end if;
182
      return result;
183
  end;
184
  function remaining_pipe_regs(num_pipeline_regs, period : integer)
185
    return integer
186
  is
187
     variable factor, result: integer;
188
  begin
189
      factor := (num_pipeline_regs / period);
190
      result := num_pipeline_regs - (period * factor) + 1;
191
      return result;
192
  end;
193
 
194
  function sg_min(L, R: INTEGER) return INTEGER is
195
  begin
196
      if L < R then
197
            return L;
198
      else
199
            return R;
200
      end if;
201
  end;
202
  constant max_pipeline_regs : integer := 8;
203
  constant pipe_regs : integer := 5;
204
  constant num_pipeline_regs : integer := sg_min(pipeline_regs, max_pipeline_regs);
205
  constant rem_pipeline_regs : integer := remaining_pipe_regs(num_pipeline_regs,period);
206
  constant period_floor: integer := max(2, period);
207
  constant power_of_2_counter: boolean :=
208
    is_power_of_2(integer_to_std_logic_vector(period_floor,32, xlUnsigned));
209
  constant cnt_width: integer :=
210
    size_of_uint(period_floor, power_of_2_counter);
211
  constant clk_for_ce_pulse_minus1: std_logic_vector(cnt_width - 1 downto 0) :=
212
    integer_to_std_logic_vector((period_floor - 2),cnt_width, xlUnsigned);
213
  constant clk_for_ce_pulse_minus2: std_logic_vector(cnt_width - 1 downto 0) :=
214
    integer_to_std_logic_vector(max(0,period - 3),cnt_width, xlUnsigned);
215
  constant clk_for_ce_pulse_minus_regs: std_logic_vector(cnt_width - 1 downto 0) :=
216
    integer_to_std_logic_vector(max(0,period - rem_pipeline_regs),cnt_width, xlUnsigned);
217
  signal clk_num: unsigned(cnt_width - 1 downto 0) := (others => '0');
218
  signal ce_vec : std_logic_vector(num_pipeline_regs downto 0);
219
  attribute MAX_FANOUT : string;
220
  attribute MAX_FANOUT of ce_vec:signal is "REDUCE";
221
  signal ce_vec_logic : std_logic_vector(num_pipeline_regs downto 0);
222
  attribute MAX_FANOUT of ce_vec_logic:signal is "REDUCE";
223
  signal internal_ce: std_logic_vector(0 downto 0);
224
  signal internal_ce_logic: std_logic_vector(0 downto 0);
225
  signal cnt_clr, cnt_clr_dly: std_logic_vector (0 downto 0);
226
begin
227
  clk <= sysclk;
228
  clr <= sysclr;
229
  cntr_gen: process(sysclk)
230
  begin
231
    if sysclk'event and sysclk = '1'  then
232
      if (sysce = '1') then
233
        if ((cnt_clr_dly(0) = '1') or (sysclr = '1')) then
234
          clk_num <= (others => '0');
235
        else
236
          clk_num <= clk_num + 1;
237
        end if;
238
    end if;
239
    end if;
240
  end process;
241
  clr_gen: process(clk_num, sysclr)
242
  begin
243
    if power_of_2_counter then
244
      cnt_clr(0) <= sysclr;
245
    else
246
      if (unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus1
247
          or sysclr = '1') then
248
        cnt_clr(0) <= '1';
249
      else
250
        cnt_clr(0) <= '0';
251
      end if;
252
    end if;
253
  end process;
254
  clr_reg: synth_reg_w_init
255
    generic map (
256
      width => 1,
257
      init_index => 0,
258
      init_value => b"0000",
259
      latency => 1
260
    )
261
    port map (
262
      i => cnt_clr,
263
      ce => sysce,
264
      clr => sysclr,
265
      clk => sysclk,
266
      o => cnt_clr_dly
267
    );
268
  pipelined_ce : if period > 1 generate
269
      ce_gen: process(clk_num)
270
      begin
271
          if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then
272
              ce_vec(num_pipeline_regs) <= '1';
273
          else
274
              ce_vec(num_pipeline_regs) <= '0';
275
          end if;
276
      end process;
277
      ce_pipeline: for index in num_pipeline_regs downto 1 generate
278
          ce_reg : synth_reg_w_init
279
              generic map (
280
                  width => 1,
281
                  init_index => ce_reg_init_val(index, period),
282
                  init_value => b"0000",
283
                  latency => 1
284
                  )
285
              port map (
286
                  i => ce_vec(index downto index),
287
                  ce => sysce,
288
                  clr => sysclr,
289
                  clk => sysclk,
290
                  o => ce_vec(index-1 downto index-1)
291
                  );
292
      end generate;
293
      internal_ce <= ce_vec(0 downto 0);
294
  end generate;
295
  pipelined_ce_logic: if period > 1 generate
296
      ce_gen_logic: process(clk_num)
297
      begin
298
          if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then
299
              ce_vec_logic(num_pipeline_regs) <= '1';
300
          else
301
              ce_vec_logic(num_pipeline_regs) <= '0';
302
          end if;
303
      end process;
304
      ce_logic_pipeline: for index in num_pipeline_regs downto 1 generate
305
          ce_logic_reg : synth_reg_w_init
306
              generic map (
307
                  width => 1,
308
                  init_index => ce_reg_init_val(index, period),
309
                  init_value => b"0000",
310
                  latency => 1
311
                  )
312
              port map (
313
                  i => ce_vec_logic(index downto index),
314
                  ce => sysce,
315
                  clr => sysclr,
316
                  clk => sysclk,
317
                  o => ce_vec_logic(index-1 downto index-1)
318
                  );
319
      end generate;
320
      internal_ce_logic <= ce_vec_logic(0 downto 0);
321
  end generate;
322
  use_bufg_true: if period > 1 and use_bufg = 1 generate
323
    ce_bufg_inst: bufg
324
      port map (
325
        i => internal_ce(0),
326
        o => ce
327
      );
328
    ce_bufg_inst_logic: bufg
329
      port map (
330
        i => internal_ce_logic(0),
331
        o => ce_logic
332
      );
333
  end generate;
334
  use_bufg_false: if period > 1 and (use_bufg = 0) generate
335
    ce <= internal_ce(0);
336
    ce_logic <= internal_ce_logic(0);
337
  end generate;
338
  generate_system_clk: if period = 1 generate
339
    ce <= sysce;
340
    ce_logic <= sysce;
341
  end generate;
342
end architecture behavior;
343
library IEEE;
344
use IEEE.std_logic_1164.all;
345
use work.conv_pkg.all;
346
 
347
entity default_clock_driver is
348
  port (
349
    sysce: in std_logic;
350
    sysce_clr: in std_logic;
351
    sysclk: in std_logic;
352
    ce_1: out std_logic;
353
    clk_1: out std_logic
354
  );
355
end default_clock_driver;
356
 
357
architecture structural of default_clock_driver is
358
  attribute syn_noprune: boolean;
359
  attribute syn_noprune of structural : architecture is true;
360
  attribute optimize_primitives: boolean;
361
  attribute optimize_primitives of structural : architecture is false;
362
  attribute dont_touch: boolean;
363
  attribute dont_touch of structural : architecture is true;
364
 
365
  signal sysce_clr_x0: std_logic;
366
  signal sysce_x0: std_logic;
367
  signal sysclk_x0: std_logic;
368
  signal xlclockdriver_1_ce: std_logic;
369
  signal xlclockdriver_1_clk: std_logic;
370
 
371
begin
372
  sysce_x0 <= sysce;
373
  sysce_clr_x0 <= sysce_clr;
374
  sysclk_x0 <= sysclk;
375
  ce_1 <= xlclockdriver_1_ce;
376
  clk_1 <= xlclockdriver_1_clk;
377
 
378
  xlclockdriver_1: entity work.xlclockdriver
379
    generic map (
380
      log_2_period => 1,
381
      period => 1,
382
      use_bufg => 0
383
    )
384
    port map (
385
      sysce => sysce_x0,
386
      sysclk => sysclk_x0,
387
      sysclr => sysce_clr_x0,
388
      ce => xlclockdriver_1_ce,
389
      clk => xlclockdriver_1_clk
390
    );
391
 
392
end structural;
393
library IEEE;
394
use IEEE.std_logic_1164.all;
395
use work.conv_pkg.all;
396
 
397
entity user_logic_cw is
398
  port (
399
    bram_rd_dout: in std_logic_vector(63 downto 0);
400
    ce: in std_logic := '1';
401
    clk: in std_logic; -- clock period = 5.0 ns (200.0 Mhz)
402
    fifo_rd_count: in std_logic_vector(14 downto 0);
403
    fifo_rd_dout: in std_logic_vector(71 downto 0);
404
    fifo_rd_empty: in std_logic;
405
    fifo_rd_pempty: in std_logic;
406
    fifo_rd_valid: in std_logic;
407
    fifo_wr_count: in std_logic_vector(14 downto 0);
408
    fifo_wr_full: in std_logic;
409
    fifo_wr_pfull: in std_logic;
410
    from_register10_data_out: in std_logic_vector(0 downto 0);
411
    from_register11_data_out: in std_logic_vector(31 downto 0);
412
    from_register12_data_out: in std_logic_vector(0 downto 0);
413
    from_register13_data_out: in std_logic_vector(31 downto 0);
414
    from_register14_data_out: in std_logic_vector(0 downto 0);
415
    from_register15_data_out: in std_logic_vector(0 downto 0);
416
    from_register16_data_out: in std_logic_vector(0 downto 0);
417
    from_register17_data_out: in std_logic_vector(31 downto 0);
418
    from_register18_data_out: in std_logic_vector(0 downto 0);
419
    from_register19_data_out: in std_logic_vector(31 downto 0);
420
    from_register1_data_out: in std_logic_vector(31 downto 0);
421
    from_register20_data_out: in std_logic_vector(31 downto 0);
422
    from_register21_data_out: in std_logic_vector(0 downto 0);
423
    from_register22_data_out: in std_logic_vector(31 downto 0);
424
    from_register23_data_out: in std_logic_vector(0 downto 0);
425
    from_register24_data_out: in std_logic_vector(31 downto 0);
426
    from_register25_data_out: in std_logic_vector(0 downto 0);
427
    from_register26_data_out: in std_logic_vector(31 downto 0);
428
    from_register27_data_out: in std_logic_vector(0 downto 0);
429
    from_register28_data_out: in std_logic_vector(31 downto 0);
430
    from_register29_data_out: in std_logic_vector(0 downto 0);
431
    from_register2_data_out: in std_logic_vector(31 downto 0);
432
    from_register30_data_out: in std_logic_vector(31 downto 0);
433
    from_register31_data_out: in std_logic_vector(0 downto 0);
434
    from_register32_data_out: in std_logic_vector(31 downto 0);
435
    from_register33_data_out: in std_logic_vector(0 downto 0);
436
    from_register3_data_out: in std_logic_vector(31 downto 0);
437
    from_register4_data_out: in std_logic_vector(0 downto 0);
438
    from_register5_data_out: in std_logic_vector(31 downto 0);
439
    from_register6_data_out: in std_logic_vector(0 downto 0);
440
    from_register7_data_out: in std_logic_vector(31 downto 0);
441
    from_register8_data_out: in std_logic_vector(0 downto 0);
442
    from_register9_data_out: in std_logic_vector(31 downto 0);
443
    from_register_data_out: in std_logic_vector(31 downto 0);
444
    rst_i: in std_logic;
445
    to_register10_dout: in std_logic_vector(0 downto 0);
446
    to_register11_dout: in std_logic_vector(0 downto 0);
447
    to_register12_dout: in std_logic_vector(0 downto 0);
448
    to_register13_dout: in std_logic_vector(31 downto 0);
449
    to_register14_dout: in std_logic_vector(0 downto 0);
450
    to_register15_dout: in std_logic_vector(31 downto 0);
451
    to_register16_dout: in std_logic_vector(0 downto 0);
452
    to_register17_dout: in std_logic_vector(31 downto 0);
453
    to_register18_dout: in std_logic_vector(0 downto 0);
454
    to_register19_dout: in std_logic_vector(31 downto 0);
455
    to_register1_dout: in std_logic_vector(0 downto 0);
456
    to_register20_dout: in std_logic_vector(0 downto 0);
457
    to_register21_dout: in std_logic_vector(31 downto 0);
458
    to_register22_dout: in std_logic_vector(0 downto 0);
459
    to_register23_dout: in std_logic_vector(31 downto 0);
460
    to_register24_dout: in std_logic_vector(0 downto 0);
461
    to_register25_dout: in std_logic_vector(31 downto 0);
462
    to_register26_dout: in std_logic_vector(0 downto 0);
463
    to_register27_dout: in std_logic_vector(31 downto 0);
464
    to_register2_dout: in std_logic_vector(31 downto 0);
465
    to_register3_dout: in std_logic_vector(31 downto 0);
466
    to_register4_dout: in std_logic_vector(0 downto 0);
467
    to_register5_dout: in std_logic_vector(0 downto 0);
468
    to_register6_dout: in std_logic_vector(31 downto 0);
469
    to_register7_dout: in std_logic_vector(0 downto 0);
470
    to_register8_dout: in std_logic_vector(31 downto 0);
471
    to_register9_dout: in std_logic_vector(31 downto 0);
472
    to_register_dout: in std_logic_vector(31 downto 0);
473
    bram_rd_addr: out std_logic_vector(11 downto 0);
474
    bram_wr_addr: out std_logic_vector(11 downto 0);
475
    bram_wr_din: out std_logic_vector(63 downto 0);
476
    bram_wr_en: out std_logic_vector(7 downto 0);
477
    fifo_rd_en: out std_logic;
478
    fifo_wr_din: out std_logic_vector(71 downto 0);
479
    fifo_wr_en: out std_logic;
480
    rst_o: out std_logic;
481
    to_register10_ce: out std_logic;
482
    to_register10_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
483
    to_register10_clr: out std_logic;
484
    to_register10_data_in: out std_logic_vector(0 downto 0);
485
    to_register10_en: out std_logic_vector(0 downto 0);
486
    to_register11_ce: out std_logic;
487
    to_register11_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
488
    to_register11_clr: out std_logic;
489
    to_register11_data_in: out std_logic_vector(0 downto 0);
490
    to_register11_en: out std_logic_vector(0 downto 0);
491
    to_register12_ce: out std_logic;
492
    to_register12_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
493
    to_register12_clr: out std_logic;
494
    to_register12_data_in: out std_logic_vector(0 downto 0);
495
    to_register12_en: out std_logic_vector(0 downto 0);
496
    to_register13_ce: out std_logic;
497
    to_register13_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
498
    to_register13_clr: out std_logic;
499
    to_register13_data_in: out std_logic_vector(31 downto 0);
500
    to_register13_en: out std_logic_vector(0 downto 0);
501
    to_register14_ce: out std_logic;
502
    to_register14_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
503
    to_register14_clr: out std_logic;
504
    to_register14_data_in: out std_logic_vector(0 downto 0);
505
    to_register14_en: out std_logic_vector(0 downto 0);
506
    to_register15_ce: out std_logic;
507
    to_register15_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
508
    to_register15_clr: out std_logic;
509
    to_register15_data_in: out std_logic_vector(31 downto 0);
510
    to_register15_en: out std_logic_vector(0 downto 0);
511
    to_register16_ce: out std_logic;
512
    to_register16_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
513
    to_register16_clr: out std_logic;
514
    to_register16_data_in: out std_logic_vector(0 downto 0);
515
    to_register16_en: out std_logic_vector(0 downto 0);
516
    to_register17_ce: out std_logic;
517
    to_register17_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
518
    to_register17_clr: out std_logic;
519
    to_register17_data_in: out std_logic_vector(31 downto 0);
520
    to_register17_en: out std_logic_vector(0 downto 0);
521
    to_register18_ce: out std_logic;
522
    to_register18_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
523
    to_register18_clr: out std_logic;
524
    to_register18_data_in: out std_logic_vector(0 downto 0);
525
    to_register18_en: out std_logic_vector(0 downto 0);
526
    to_register19_ce: out std_logic;
527
    to_register19_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
528
    to_register19_clr: out std_logic;
529
    to_register19_data_in: out std_logic_vector(31 downto 0);
530
    to_register19_en: out std_logic_vector(0 downto 0);
531
    to_register1_ce: out std_logic;
532
    to_register1_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
533
    to_register1_clr: out std_logic;
534
    to_register1_data_in: out std_logic_vector(0 downto 0);
535
    to_register1_en: out std_logic_vector(0 downto 0);
536
    to_register20_ce: out std_logic;
537
    to_register20_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
538
    to_register20_clr: out std_logic;
539
    to_register20_data_in: out std_logic_vector(0 downto 0);
540
    to_register20_en: out std_logic_vector(0 downto 0);
541
    to_register21_ce: out std_logic;
542
    to_register21_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
543
    to_register21_clr: out std_logic;
544
    to_register21_data_in: out std_logic_vector(31 downto 0);
545
    to_register21_en: out std_logic_vector(0 downto 0);
546
    to_register22_ce: out std_logic;
547
    to_register22_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
548
    to_register22_clr: out std_logic;
549
    to_register22_data_in: out std_logic_vector(0 downto 0);
550
    to_register22_en: out std_logic_vector(0 downto 0);
551
    to_register23_ce: out std_logic;
552
    to_register23_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
553
    to_register23_clr: out std_logic;
554
    to_register23_data_in: out std_logic_vector(31 downto 0);
555
    to_register23_en: out std_logic_vector(0 downto 0);
556
    to_register24_ce: out std_logic;
557
    to_register24_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
558
    to_register24_clr: out std_logic;
559
    to_register24_data_in: out std_logic_vector(0 downto 0);
560
    to_register24_en: out std_logic_vector(0 downto 0);
561
    to_register25_ce: out std_logic;
562
    to_register25_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
563
    to_register25_clr: out std_logic;
564
    to_register25_data_in: out std_logic_vector(31 downto 0);
565
    to_register25_en: out std_logic_vector(0 downto 0);
566
    to_register26_ce: out std_logic;
567
    to_register26_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
568
    to_register26_clr: out std_logic;
569
    to_register26_data_in: out std_logic_vector(0 downto 0);
570
    to_register26_en: out std_logic_vector(0 downto 0);
571
    to_register27_ce: out std_logic;
572
    to_register27_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
573
    to_register27_clr: out std_logic;
574
    to_register27_data_in: out std_logic_vector(31 downto 0);
575
    to_register27_en: out std_logic_vector(0 downto 0);
576
    to_register2_ce: out std_logic;
577
    to_register2_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
578
    to_register2_clr: out std_logic;
579
    to_register2_data_in: out std_logic_vector(31 downto 0);
580
    to_register2_en: out std_logic_vector(0 downto 0);
581
    to_register3_ce: out std_logic;
582
    to_register3_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
583
    to_register3_clr: out std_logic;
584
    to_register3_data_in: out std_logic_vector(31 downto 0);
585
    to_register3_en: out std_logic_vector(0 downto 0);
586
    to_register4_ce: out std_logic;
587
    to_register4_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
588
    to_register4_clr: out std_logic;
589
    to_register4_data_in: out std_logic_vector(0 downto 0);
590
    to_register4_en: out std_logic_vector(0 downto 0);
591
    to_register5_ce: out std_logic;
592
    to_register5_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
593
    to_register5_clr: out std_logic;
594
    to_register5_data_in: out std_logic_vector(0 downto 0);
595
    to_register5_en: out std_logic_vector(0 downto 0);
596
    to_register6_ce: out std_logic;
597
    to_register6_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
598
    to_register6_clr: out std_logic;
599
    to_register6_data_in: out std_logic_vector(31 downto 0);
600
    to_register6_en: out std_logic_vector(0 downto 0);
601
    to_register7_ce: out std_logic;
602
    to_register7_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
603
    to_register7_clr: out std_logic;
604
    to_register7_data_in: out std_logic_vector(0 downto 0);
605
    to_register7_en: out std_logic_vector(0 downto 0);
606
    to_register8_ce: out std_logic;
607
    to_register8_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
608
    to_register8_clr: out std_logic;
609
    to_register8_data_in: out std_logic_vector(31 downto 0);
610
    to_register8_en: out std_logic_vector(0 downto 0);
611
    to_register9_ce: out std_logic;
612
    to_register9_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
613
    to_register9_clr: out std_logic;
614
    to_register9_data_in: out std_logic_vector(31 downto 0);
615
    to_register9_en: out std_logic_vector(0 downto 0);
616
    to_register_ce: out std_logic;
617
    to_register_clk: out std_logic; -- clock period = 5.0 ns (200.0 Mhz)
618
    to_register_clr: out std_logic;
619
    to_register_data_in: out std_logic_vector(31 downto 0);
620
    to_register_en: out std_logic_vector(0 downto 0);
621
    user_int_1o: out std_logic;
622
    user_int_2o: out std_logic;
623
    user_int_3o: out std_logic
624
  );
625
end user_logic_cw;
626
 
627
architecture structural of user_logic_cw is
628
  component xlpersistentdff
629
    port (
630
      clk: in std_logic;
631
      d: in std_logic;
632
      q: out std_logic
633
    );
634
  end component;
635
  attribute syn_black_box: boolean;
636
  attribute syn_black_box of xlpersistentdff: component is true;
637
  attribute box_type: string;
638
  attribute box_type of xlpersistentdff: component is "black_box";
639
  attribute syn_noprune: boolean;
640
  attribute optimize_primitives: boolean;
641
  attribute dont_touch: boolean;
642
  attribute syn_noprune of xlpersistentdff: component is true;
643
  attribute optimize_primitives of xlpersistentdff: component is false;
644
  attribute dont_touch of xlpersistentdff: component is true;
645
 
646
  signal bram_rd_addr_net: std_logic_vector(11 downto 0);
647
  signal bram_rd_dout_net: std_logic_vector(63 downto 0);
648
  signal bram_wr_addr_net: std_logic_vector(11 downto 0);
649
  signal bram_wr_din_net: std_logic_vector(63 downto 0);
650
  signal bram_wr_en_net: std_logic_vector(7 downto 0);
651
  signal ce_1_sg_x0: std_logic;
652
  attribute MAX_FANOUT: string;
653
  attribute MAX_FANOUT of ce_1_sg_x0: signal is "REDUCE";
654
  signal clkNet: std_logic;
655
  signal clk_1_sg_x0: std_logic;
656
  signal constant6_op_net_x0: std_logic;
657
  signal constant6_op_net_x1: std_logic;
658
  signal constant6_op_net_x10: std_logic;
659
  signal constant6_op_net_x11: std_logic;
660
  signal constant6_op_net_x12: std_logic;
661
  signal constant6_op_net_x13: std_logic;
662
  signal constant6_op_net_x14: std_logic;
663
  signal constant6_op_net_x15: std_logic;
664
  signal constant6_op_net_x16: std_logic;
665
  signal constant6_op_net_x17: std_logic;
666
  signal constant6_op_net_x18: std_logic;
667
  signal constant6_op_net_x19: std_logic;
668
  signal constant6_op_net_x2: std_logic;
669
  signal constant6_op_net_x20: std_logic;
670
  signal constant6_op_net_x21: std_logic;
671
  signal constant6_op_net_x22: std_logic;
672
  signal constant6_op_net_x23: std_logic;
673
  signal constant6_op_net_x24: std_logic;
674
  signal constant6_op_net_x25: std_logic;
675
  signal constant6_op_net_x26: std_logic;
676
  signal constant6_op_net_x27: std_logic;
677
  signal constant6_op_net_x3: std_logic;
678
  signal constant6_op_net_x4: std_logic;
679
  signal constant6_op_net_x5: std_logic;
680
  signal constant6_op_net_x6: std_logic;
681
  signal constant6_op_net_x7: std_logic;
682
  signal constant6_op_net_x8: std_logic;
683
  signal constant6_op_net_x9: std_logic;
684
  signal data_in_net: std_logic_vector(31 downto 0);
685
  signal data_in_x0_net: std_logic;
686
  signal data_in_x10_net: std_logic_vector(31 downto 0);
687
  signal data_in_x11_net: std_logic_vector(31 downto 0);
688
  signal data_in_x12_net: std_logic;
689
  signal data_in_x13_net: std_logic_vector(31 downto 0);
690
  signal data_in_x14_net: std_logic;
691
  signal data_in_x15_net: std_logic_vector(31 downto 0);
692
  signal data_in_x16_net: std_logic;
693
  signal data_in_x17_net: std_logic_vector(31 downto 0);
694
  signal data_in_x18_net: std_logic;
695
  signal data_in_x19_net: std_logic_vector(31 downto 0);
696
  signal data_in_x1_net: std_logic;
697
  signal data_in_x20_net: std_logic_vector(31 downto 0);
698
  signal data_in_x21_net: std_logic;
699
  signal data_in_x22_net: std_logic;
700
  signal data_in_x23_net: std_logic_vector(31 downto 0);
701
  signal data_in_x24_net: std_logic;
702
  signal data_in_x25_net: std_logic_vector(31 downto 0);
703
  signal data_in_x26_net: std_logic_vector(31 downto 0);
704
  signal data_in_x2_net: std_logic;
705
  signal data_in_x3_net: std_logic;
706
  signal data_in_x4_net: std_logic_vector(31 downto 0);
707
  signal data_in_x5_net: std_logic;
708
  signal data_in_x6_net: std_logic_vector(31 downto 0);
709
  signal data_in_x7_net: std_logic;
710
  signal data_in_x8_net: std_logic_vector(31 downto 0);
711
  signal data_in_x9_net: std_logic;
712
  signal data_out_x12_net: std_logic_vector(31 downto 0);
713
  signal data_out_x13_net: std_logic;
714
  signal data_out_x14_net: std_logic_vector(31 downto 0);
715
  signal data_out_x15_net: std_logic;
716
  signal data_out_x16_net: std_logic_vector(31 downto 0);
717
  signal data_out_x17_net: std_logic;
718
  signal data_out_x18_net: std_logic_vector(31 downto 0);
719
  signal data_out_x19_net: std_logic;
720
  signal data_out_x1_net: std_logic;
721
  signal data_out_x20_net: std_logic_vector(31 downto 0);
722
  signal data_out_x21_net: std_logic;
723
  signal data_out_x22_net: std_logic_vector(31 downto 0);
724
  signal data_out_x23_net: std_logic_vector(31 downto 0);
725
  signal data_out_x24_net: std_logic;
726
  signal data_out_x25_net: std_logic_vector(31 downto 0);
727
  signal data_out_x26_net: std_logic;
728
  signal data_out_x27_net: std_logic;
729
  signal data_out_x28_net: std_logic_vector(31 downto 0);
730
  signal data_out_x29_net: std_logic;
731
  signal data_out_x2_net: std_logic_vector(31 downto 0);
732
  signal data_out_x30_net: std_logic_vector(31 downto 0);
733
  signal data_out_x31_net: std_logic;
734
  signal data_out_x32_net: std_logic_vector(31 downto 0);
735
  signal data_out_x3_net: std_logic;
736
  signal data_out_x4_net: std_logic_vector(31 downto 0);
737
  signal data_out_x5_net: std_logic;
738
  signal data_out_x8_net: std_logic_vector(31 downto 0);
739
  signal data_out_x9_net: std_logic;
740
  signal fifo_rd_count_net: std_logic_vector(14 downto 0);
741
  signal fifo_rd_dout_net: std_logic_vector(71 downto 0);
742
  signal fifo_rd_empty_net: std_logic;
743
  signal fifo_rd_en_net: std_logic;
744
  signal fifo_rd_pempty_net: std_logic;
745
  signal fifo_rd_valid_net: std_logic;
746
  signal fifo_wr_count_net: std_logic_vector(14 downto 0);
747
  signal fifo_wr_din_net: std_logic_vector(71 downto 0);
748
  signal fifo_wr_en_net: std_logic;
749
  signal fifo_wr_full_net: std_logic;
750
  signal fifo_wr_pfull_net: std_logic;
751
  signal from_register15_data_out_net: std_logic;
752
  signal from_register16_data_out_net: std_logic;
753
  signal from_register19_data_out_net: std_logic_vector(31 downto 0);
754
  signal from_register1_data_out_net: std_logic_vector(31 downto 0);
755
  signal from_register2_data_out_net: std_logic_vector(31 downto 0);
756
  signal from_register_data_out_net: std_logic_vector(31 downto 0);
757
  signal persistentdff_inst_q: std_logic;
758
  attribute syn_keep: boolean;
759
  attribute syn_keep of persistentdff_inst_q: signal is true;
760
  attribute keep: boolean;
761
  attribute keep of persistentdff_inst_q: signal is true;
762
  attribute preserve_signal: boolean;
763
  attribute preserve_signal of persistentdff_inst_q: signal is true;
764
  signal rst_i_net: std_logic;
765
  signal rst_o_net: std_logic;
766
  signal to_register10_dout_net: std_logic;
767
  signal to_register11_dout_net: std_logic;
768
  signal to_register12_dout_net: std_logic;
769
  signal to_register13_dout_net: std_logic_vector(31 downto 0);
770
  signal to_register14_dout_net: std_logic;
771
  signal to_register15_dout_net: std_logic_vector(31 downto 0);
772
  signal to_register16_dout_net: std_logic;
773
  signal to_register17_dout_net: std_logic_vector(31 downto 0);
774
  signal to_register18_dout_net: std_logic;
775
  signal to_register19_dout_net: std_logic_vector(31 downto 0);
776
  signal to_register1_dout_net: std_logic;
777
  signal to_register20_dout_net: std_logic;
778
  signal to_register21_dout_net: std_logic_vector(31 downto 0);
779
  signal to_register22_dout_net: std_logic;
780
  signal to_register23_dout_net: std_logic_vector(31 downto 0);
781
  signal to_register24_dout_net: std_logic;
782
  signal to_register25_dout_net: std_logic_vector(31 downto 0);
783
  signal to_register26_dout_net: std_logic;
784
  signal to_register27_dout_net: std_logic_vector(31 downto 0);
785
  signal to_register2_dout_net: std_logic_vector(31 downto 0);
786
  signal to_register3_dout_net: std_logic_vector(31 downto 0);
787
  signal to_register4_dout_net: std_logic;
788
  signal to_register5_dout_net: std_logic;
789
  signal to_register6_dout_net: std_logic_vector(31 downto 0);
790
  signal to_register7_dout_net: std_logic;
791
  signal to_register8_dout_net: std_logic_vector(31 downto 0);
792
  signal to_register9_dout_net: std_logic_vector(31 downto 0);
793
  signal to_register_dout_net: std_logic_vector(31 downto 0);
794
  signal user_int_1o_net: std_logic;
795
  signal user_int_2o_net: std_logic;
796
  signal user_int_3o_net: std_logic;
797
 
798
begin
799
  bram_rd_dout_net <= bram_rd_dout;
800
  clkNet <= clk;
801
  fifo_rd_count_net <= fifo_rd_count;
802
  fifo_rd_dout_net <= fifo_rd_dout;
803
  fifo_rd_empty_net <= fifo_rd_empty;
804
  fifo_rd_pempty_net <= fifo_rd_pempty;
805
  fifo_rd_valid_net <= fifo_rd_valid;
806
  fifo_wr_count_net <= fifo_wr_count;
807
  fifo_wr_full_net <= fifo_wr_full;
808
  fifo_wr_pfull_net <= fifo_wr_pfull;
809
  data_out_x1_net <= from_register10_data_out(0);
810
  data_out_x2_net <= from_register11_data_out;
811
  data_out_x3_net <= from_register12_data_out(0);
812
  data_out_x4_net <= from_register13_data_out;
813
  data_out_x5_net <= from_register14_data_out(0);
814
  from_register15_data_out_net <= from_register15_data_out(0);
815
  from_register16_data_out_net <= from_register16_data_out(0);
816
  data_out_x8_net <= from_register17_data_out;
817
  data_out_x9_net <= from_register18_data_out(0);
818
  from_register19_data_out_net <= from_register19_data_out;
819
  from_register1_data_out_net <= from_register1_data_out;
820
  data_out_x12_net <= from_register20_data_out;
821
  data_out_x13_net <= from_register21_data_out(0);
822
  data_out_x14_net <= from_register22_data_out;
823
  data_out_x15_net <= from_register23_data_out(0);
824
  data_out_x16_net <= from_register24_data_out;
825
  data_out_x17_net <= from_register25_data_out(0);
826
  data_out_x18_net <= from_register26_data_out;
827
  data_out_x19_net <= from_register27_data_out(0);
828
  data_out_x20_net <= from_register28_data_out;
829
  data_out_x21_net <= from_register29_data_out(0);
830
  from_register2_data_out_net <= from_register2_data_out;
831
  data_out_x23_net <= from_register30_data_out;
832
  data_out_x24_net <= from_register31_data_out(0);
833
  data_out_x25_net <= from_register32_data_out;
834
  data_out_x26_net <= from_register33_data_out(0);
835
  data_out_x22_net <= from_register3_data_out;
836
  data_out_x27_net <= from_register4_data_out(0);
837
  data_out_x28_net <= from_register5_data_out;
838
  data_out_x29_net <= from_register6_data_out(0);
839
  data_out_x30_net <= from_register7_data_out;
840
  data_out_x31_net <= from_register8_data_out(0);
841
  data_out_x32_net <= from_register9_data_out;
842
  from_register_data_out_net <= from_register_data_out;
843
  rst_i_net <= rst_i;
844
  to_register10_dout_net <= to_register10_dout(0);
845
  to_register11_dout_net <= to_register11_dout(0);
846
  to_register12_dout_net <= to_register12_dout(0);
847
  to_register13_dout_net <= to_register13_dout;
848
  to_register14_dout_net <= to_register14_dout(0);
849
  to_register15_dout_net <= to_register15_dout;
850
  to_register16_dout_net <= to_register16_dout(0);
851
  to_register17_dout_net <= to_register17_dout;
852
  to_register18_dout_net <= to_register18_dout(0);
853
  to_register19_dout_net <= to_register19_dout;
854
  to_register1_dout_net <= to_register1_dout(0);
855
  to_register20_dout_net <= to_register20_dout(0);
856
  to_register21_dout_net <= to_register21_dout;
857
  to_register22_dout_net <= to_register22_dout(0);
858
  to_register23_dout_net <= to_register23_dout;
859
  to_register24_dout_net <= to_register24_dout(0);
860
  to_register25_dout_net <= to_register25_dout;
861
  to_register26_dout_net <= to_register26_dout(0);
862
  to_register27_dout_net <= to_register27_dout;
863
  to_register2_dout_net <= to_register2_dout;
864
  to_register3_dout_net <= to_register3_dout;
865
  to_register4_dout_net <= to_register4_dout(0);
866
  to_register5_dout_net <= to_register5_dout(0);
867
  to_register6_dout_net <= to_register6_dout;
868
  to_register7_dout_net <= to_register7_dout(0);
869
  to_register8_dout_net <= to_register8_dout;
870
  to_register9_dout_net <= to_register9_dout;
871
  to_register_dout_net <= to_register_dout;
872
  bram_rd_addr <= bram_rd_addr_net;
873
  bram_wr_addr <= bram_wr_addr_net;
874
  bram_wr_din <= bram_wr_din_net;
875
  bram_wr_en <= bram_wr_en_net;
876
  fifo_rd_en <= fifo_rd_en_net;
877
  fifo_wr_din <= fifo_wr_din_net;
878
  fifo_wr_en <= fifo_wr_en_net;
879
  rst_o <= rst_o_net;
880
  to_register10_ce <= ce_1_sg_x0;
881
  to_register10_clk <= clk_1_sg_x0;
882
  to_register10_clr <= '0';
883
  to_register10_data_in(0) <= data_in_x1_net;
884
  to_register10_en(0) <= constant6_op_net_x2;
885
  to_register11_ce <= ce_1_sg_x0;
886
  to_register11_clk <= clk_1_sg_x0;
887
  to_register11_clr <= '0';
888
  to_register11_data_in(0) <= data_in_x2_net;
889
  to_register11_en(0) <= constant6_op_net_x3;
890
  to_register12_ce <= ce_1_sg_x0;
891
  to_register12_clk <= clk_1_sg_x0;
892
  to_register12_clr <= '0';
893
  to_register12_data_in(0) <= data_in_x3_net;
894
  to_register12_en(0) <= constant6_op_net_x4;
895
  to_register13_ce <= ce_1_sg_x0;
896
  to_register13_clk <= clk_1_sg_x0;
897
  to_register13_clr <= '0';
898
  to_register13_data_in <= data_in_x4_net;
899
  to_register13_en(0) <= constant6_op_net_x5;
900
  to_register14_ce <= ce_1_sg_x0;
901
  to_register14_clk <= clk_1_sg_x0;
902
  to_register14_clr <= '0';
903
  to_register14_data_in(0) <= data_in_x5_net;
904
  to_register14_en(0) <= constant6_op_net_x6;
905
  to_register15_ce <= ce_1_sg_x0;
906
  to_register15_clk <= clk_1_sg_x0;
907
  to_register15_clr <= '0';
908
  to_register15_data_in <= data_in_x6_net;
909
  to_register15_en(0) <= constant6_op_net_x7;
910
  to_register16_ce <= ce_1_sg_x0;
911
  to_register16_clk <= clk_1_sg_x0;
912
  to_register16_clr <= '0';
913
  to_register16_data_in(0) <= data_in_x7_net;
914
  to_register16_en(0) <= constant6_op_net_x8;
915
  to_register17_ce <= ce_1_sg_x0;
916
  to_register17_clk <= clk_1_sg_x0;
917
  to_register17_clr <= '0';
918
  to_register17_data_in <= data_in_x8_net;
919
  to_register17_en(0) <= constant6_op_net_x9;
920
  to_register18_ce <= ce_1_sg_x0;
921
  to_register18_clk <= clk_1_sg_x0;
922
  to_register18_clr <= '0';
923
  to_register18_data_in(0) <= data_in_x9_net;
924
  to_register18_en(0) <= constant6_op_net_x10;
925
  to_register19_ce <= ce_1_sg_x0;
926
  to_register19_clk <= clk_1_sg_x0;
927
  to_register19_clr <= '0';
928
  to_register19_data_in <= data_in_x10_net;
929
  to_register19_en(0) <= constant6_op_net_x11;
930
  to_register1_ce <= ce_1_sg_x0;
931
  to_register1_clk <= clk_1_sg_x0;
932
  to_register1_clr <= '0';
933
  to_register1_data_in(0) <= data_in_x0_net;
934
  to_register1_en(0) <= constant6_op_net_x1;
935
  to_register20_ce <= ce_1_sg_x0;
936
  to_register20_clk <= clk_1_sg_x0;
937
  to_register20_clr <= '0';
938
  to_register20_data_in(0) <= data_in_x12_net;
939
  to_register20_en(0) <= constant6_op_net_x13;
940
  to_register21_ce <= ce_1_sg_x0;
941
  to_register21_clk <= clk_1_sg_x0;
942
  to_register21_clr <= '0';
943
  to_register21_data_in <= data_in_x13_net;
944
  to_register21_en(0) <= constant6_op_net_x14;
945
  to_register22_ce <= ce_1_sg_x0;
946
  to_register22_clk <= clk_1_sg_x0;
947
  to_register22_clr <= '0';
948
  to_register22_data_in(0) <= data_in_x14_net;
949
  to_register22_en(0) <= constant6_op_net_x15;
950
  to_register23_ce <= ce_1_sg_x0;
951
  to_register23_clk <= clk_1_sg_x0;
952
  to_register23_clr <= '0';
953
  to_register23_data_in <= data_in_x15_net;
954
  to_register23_en(0) <= constant6_op_net_x16;
955
  to_register24_ce <= ce_1_sg_x0;
956
  to_register24_clk <= clk_1_sg_x0;
957
  to_register24_clr <= '0';
958
  to_register24_data_in(0) <= data_in_x16_net;
959
  to_register24_en(0) <= constant6_op_net_x17;
960
  to_register25_ce <= ce_1_sg_x0;
961
  to_register25_clk <= clk_1_sg_x0;
962
  to_register25_clr <= '0';
963
  to_register25_data_in <= data_in_x17_net;
964
  to_register25_en(0) <= constant6_op_net_x18;
965
  to_register26_ce <= ce_1_sg_x0;
966
  to_register26_clk <= clk_1_sg_x0;
967
  to_register26_clr <= '0';
968
  to_register26_data_in(0) <= data_in_x18_net;
969
  to_register26_en(0) <= constant6_op_net_x19;
970
  to_register27_ce <= ce_1_sg_x0;
971
  to_register27_clk <= clk_1_sg_x0;
972
  to_register27_clr <= '0';
973
  to_register27_data_in <= data_in_x19_net;
974
  to_register27_en(0) <= constant6_op_net_x20;
975
  to_register2_ce <= ce_1_sg_x0;
976
  to_register2_clk <= clk_1_sg_x0;
977
  to_register2_clr <= '0';
978
  to_register2_data_in <= data_in_x11_net;
979
  to_register2_en(0) <= constant6_op_net_x12;
980
  to_register3_ce <= ce_1_sg_x0;
981
  to_register3_clk <= clk_1_sg_x0;
982
  to_register3_clr <= '0';
983
  to_register3_data_in <= data_in_x20_net;
984
  to_register3_en(0) <= constant6_op_net_x21;
985
  to_register4_ce <= ce_1_sg_x0;
986
  to_register4_clk <= clk_1_sg_x0;
987
  to_register4_clr <= '0';
988
  to_register4_data_in(0) <= data_in_x21_net;
989
  to_register4_en(0) <= constant6_op_net_x22;
990
  to_register5_ce <= ce_1_sg_x0;
991
  to_register5_clk <= clk_1_sg_x0;
992
  to_register5_clr <= '0';
993
  to_register5_data_in(0) <= data_in_x22_net;
994
  to_register5_en(0) <= constant6_op_net_x23;
995
  to_register6_ce <= ce_1_sg_x0;
996
  to_register6_clk <= clk_1_sg_x0;
997
  to_register6_clr <= '0';
998
  to_register6_data_in <= data_in_x23_net;
999
  to_register6_en(0) <= constant6_op_net_x24;
1000
  to_register7_ce <= ce_1_sg_x0;
1001
  to_register7_clk <= clk_1_sg_x0;
1002
  to_register7_clr <= '0';
1003
  to_register7_data_in(0) <= data_in_x24_net;
1004
  to_register7_en(0) <= constant6_op_net_x25;
1005
  to_register8_ce <= ce_1_sg_x0;
1006
  to_register8_clk <= clk_1_sg_x0;
1007
  to_register8_clr <= '0';
1008
  to_register8_data_in <= data_in_x25_net;
1009
  to_register8_en(0) <= constant6_op_net_x26;
1010
  to_register9_ce <= ce_1_sg_x0;
1011
  to_register9_clk <= clk_1_sg_x0;
1012
  to_register9_clr <= '0';
1013
  to_register9_data_in <= data_in_x26_net;
1014
  to_register9_en(0) <= constant6_op_net_x27;
1015
  to_register_ce <= ce_1_sg_x0;
1016
  to_register_clk <= clk_1_sg_x0;
1017
  to_register_clr <= '0';
1018
  to_register_data_in <= data_in_net;
1019
  to_register_en(0) <= constant6_op_net_x0;
1020
  user_int_1o <= user_int_1o_net;
1021
  user_int_2o <= user_int_2o_net;
1022
  user_int_3o <= user_int_3o_net;
1023
 
1024
  default_clock_driver_x0: entity work.default_clock_driver
1025
    port map (
1026
      sysce => '1',
1027
      sysce_clr => '0',
1028
      sysclk => clkNet,
1029
      ce_1 => ce_1_sg_x0,
1030
      clk_1 => clk_1_sg_x0
1031
    );
1032
 
1033
  persistentdff_inst: xlpersistentdff
1034
    port map (
1035
      clk => clkNet,
1036
      d => persistentdff_inst_q,
1037
      q => persistentdff_inst_q
1038
    );
1039
 
1040
  user_logic_x0: entity work.user_logic
1041
    port map (
1042
      bram_rd_dout => bram_rd_dout_net,
1043
      ce_1 => ce_1_sg_x0,
1044
      clk_1 => clk_1_sg_x0,
1045
      data_out_x1 => data_out_x1_net,
1046
      data_out_x12 => data_out_x12_net,
1047
      data_out_x13 => data_out_x13_net,
1048
      data_out_x14 => data_out_x14_net,
1049
      data_out_x15 => data_out_x15_net,
1050
      data_out_x16 => data_out_x16_net,
1051
      data_out_x17 => data_out_x17_net,
1052
      data_out_x18 => data_out_x18_net,
1053
      data_out_x19 => data_out_x19_net,
1054
      data_out_x2 => data_out_x2_net,
1055
      data_out_x20 => data_out_x20_net,
1056
      data_out_x21 => data_out_x21_net,
1057
      data_out_x22 => data_out_x22_net,
1058
      data_out_x23 => data_out_x23_net,
1059
      data_out_x24 => data_out_x24_net,
1060
      data_out_x25 => data_out_x25_net,
1061
      data_out_x26 => data_out_x26_net,
1062
      data_out_x27 => data_out_x27_net,
1063
      data_out_x28 => data_out_x28_net,
1064
      data_out_x29 => data_out_x29_net,
1065
      data_out_x3 => data_out_x3_net,
1066
      data_out_x30 => data_out_x30_net,
1067
      data_out_x31 => data_out_x31_net,
1068
      data_out_x32 => data_out_x32_net,
1069
      data_out_x4 => data_out_x4_net,
1070
      data_out_x5 => data_out_x5_net,
1071
      data_out_x8 => data_out_x8_net,
1072
      data_out_x9 => data_out_x9_net,
1073
      fifo_rd_count_x0 => fifo_rd_count_net,
1074
      fifo_rd_dout => fifo_rd_dout_net,
1075
      fifo_rd_empty => fifo_rd_empty_net,
1076
      fifo_rd_pempty_x0 => fifo_rd_pempty_net,
1077
      fifo_rd_valid => fifo_rd_valid_net,
1078
      fifo_wr_count_x0 => fifo_wr_count_net,
1079
      fifo_wr_full_x0 => fifo_wr_full_net,
1080
      fifo_wr_pfull_x0 => fifo_wr_pfull_net,
1081
      rst_i => rst_i_net,
1082
      bram_rd_addr => bram_rd_addr_net,
1083
      bram_wr_addr => bram_wr_addr_net,
1084
      bram_wr_din => bram_wr_din_net,
1085
      bram_wr_en => bram_wr_en_net,
1086
      data_in => data_in_net,
1087
      data_in_x0 => data_in_x0_net,
1088
      data_in_x1 => data_in_x1_net,
1089
      data_in_x10 => data_in_x10_net,
1090
      data_in_x11 => data_in_x11_net,
1091
      data_in_x12 => data_in_x12_net,
1092
      data_in_x13 => data_in_x13_net,
1093
      data_in_x14 => data_in_x14_net,
1094
      data_in_x15 => data_in_x15_net,
1095
      data_in_x16 => data_in_x16_net,
1096
      data_in_x17 => data_in_x17_net,
1097
      data_in_x18 => data_in_x18_net,
1098
      data_in_x19 => data_in_x19_net,
1099
      data_in_x2 => data_in_x2_net,
1100
      data_in_x20 => data_in_x20_net,
1101
      data_in_x21 => data_in_x21_net,
1102
      data_in_x22 => data_in_x22_net,
1103
      data_in_x23 => data_in_x23_net,
1104
      data_in_x24 => data_in_x24_net,
1105
      data_in_x25 => data_in_x25_net,
1106
      data_in_x26 => data_in_x26_net,
1107
      data_in_x3 => data_in_x3_net,
1108
      data_in_x4 => data_in_x4_net,
1109
      data_in_x5 => data_in_x5_net,
1110
      data_in_x6 => data_in_x6_net,
1111
      data_in_x7 => data_in_x7_net,
1112
      data_in_x8 => data_in_x8_net,
1113
      data_in_x9 => data_in_x9_net,
1114
      en => constant6_op_net_x0,
1115
      en_x0 => constant6_op_net_x1,
1116
      en_x1 => constant6_op_net_x2,
1117
      en_x10 => constant6_op_net_x11,
1118
      en_x11 => constant6_op_net_x12,
1119
      en_x12 => constant6_op_net_x13,
1120
      en_x13 => constant6_op_net_x14,
1121
      en_x14 => constant6_op_net_x15,
1122
      en_x15 => constant6_op_net_x16,
1123
      en_x16 => constant6_op_net_x17,
1124
      en_x17 => constant6_op_net_x18,
1125
      en_x18 => constant6_op_net_x19,
1126
      en_x19 => constant6_op_net_x20,
1127
      en_x2 => constant6_op_net_x3,
1128
      en_x20 => constant6_op_net_x21,
1129
      en_x21 => constant6_op_net_x22,
1130
      en_x22 => constant6_op_net_x23,
1131
      en_x23 => constant6_op_net_x24,
1132
      en_x24 => constant6_op_net_x25,
1133
      en_x25 => constant6_op_net_x26,
1134
      en_x26 => constant6_op_net_x27,
1135
      en_x3 => constant6_op_net_x4,
1136
      en_x4 => constant6_op_net_x5,
1137
      en_x5 => constant6_op_net_x6,
1138
      en_x6 => constant6_op_net_x7,
1139
      en_x7 => constant6_op_net_x8,
1140
      en_x8 => constant6_op_net_x9,
1141
      en_x9 => constant6_op_net_x10,
1142
      fifo_rd_en_x1 => fifo_rd_en_net,
1143
      fifo_wr_din => fifo_wr_din_net,
1144
      fifo_wr_en_x0 => fifo_wr_en_net,
1145
      rst_o => rst_o_net,
1146
      user_int_1o => user_int_1o_net,
1147
      user_int_2o => user_int_2o_net,
1148
      user_int_3o => user_int_3o_net
1149
    );
1150
 
1151
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.