OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [tmp/] [backup_v6_pcie_v1_6/] [v6_pcie_v1_6/] [example_design/] [pci_exp_4_lane_64b_ep.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
 
2
//-----------------------------------------------------------------------------
3
//
4
// (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
5
//
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
//
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
//
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
//
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
//
50
//-----------------------------------------------------------------------------
51
// Project    : Virtex-6 Integrated Block for PCI Express
52
// File       : pci_exp_4_lane_64b_ep.v
53
// Version    : 1.6
54
module v6_pcie_v1_6 (
55
 
56
  //-------------------------------------------------------
57
  // 1. PCI Express (pci_exp) Interface
58
  //-------------------------------------------------------
59
 
60
  // Tx
61
  pci_exp_txp,
62
  pci_exp_txn,
63
 
64
  // Rx
65
  pci_exp_rxp,
66
  pci_exp_rxn,
67
 
68
  //-------------------------------------------------------
69
  // 2. Transaction (TRN) Interface
70
  //-------------------------------------------------------
71
 
72
  // Common
73
  trn_clk,
74
  trn_reset_n,
75
  trn_lnk_up_n,
76
 
77
  // Tx
78
  trn_tbuf_av,
79
  trn_tcfg_req_n,
80
  trn_terr_drop_n,
81
  trn_tdst_rdy_n,
82
  trn_td,
83
  trn_trem_n,
84
  trn_tsof_n,
85
  trn_teof_n,
86
  trn_tsrc_rdy_n,
87
  trn_tsrc_dsc_n,
88
  trn_terrfwd_n,
89
 
90
  trn_tcfg_gnt_n,
91
  trn_tstr_n,
92
 
93
  // Rx
94
  trn_rd,
95
  trn_rrem_n,
96
  trn_rsof_n,
97
  trn_reof_n,
98
  trn_rsrc_rdy_n,
99
  trn_rsrc_dsc_n,
100
  trn_rerrfwd_n,
101
  trn_rbar_hit_n,
102
  trn_rdst_rdy_n,
103
  trn_rnp_ok_n,
104
 
105
  // Flow Control
106
  trn_fc_cpld,
107
  trn_fc_cplh,
108
  trn_fc_npd,
109
  trn_fc_nph,
110
  trn_fc_pd,
111
  trn_fc_ph,
112
  trn_fc_sel,
113
 
114
 
115
  //-------------------------------------------------------
116
  // 3. Configuration (CFG) Interface
117
  //-------------------------------------------------------
118
 
119
  cfg_do,
120
  cfg_rd_wr_done_n,
121
  cfg_di,
122
  cfg_byte_en_n,
123
  cfg_dwaddr,
124
  cfg_wr_en_n,
125
  cfg_rd_en_n,
126
 
127
  cfg_err_cor_n,
128
  cfg_err_ur_n,
129
  cfg_err_ecrc_n,
130
  cfg_err_cpl_timeout_n,
131
  cfg_err_cpl_abort_n,
132
  cfg_err_cpl_unexpect_n,
133
  cfg_err_posted_n,
134
  cfg_err_locked_n,
135
  cfg_err_tlp_cpl_header,
136
  cfg_err_cpl_rdy_n,
137
  cfg_interrupt_n,
138
  cfg_interrupt_rdy_n,
139
  cfg_interrupt_assert_n,
140
  cfg_interrupt_di,
141
  cfg_interrupt_do,
142
  cfg_interrupt_mmenable,
143
  cfg_interrupt_msienable,
144
  cfg_interrupt_msixenable,
145
  cfg_interrupt_msixfm,
146
  cfg_turnoff_ok_n,
147
  cfg_to_turnoff_n,
148
  cfg_trn_pending_n,
149
  cfg_pm_wake_n,
150
  cfg_bus_number,
151
  cfg_device_number,
152
  cfg_function_number,
153
  cfg_status,
154
  cfg_command,
155
  cfg_dstatus,
156
  cfg_dcommand,
157
  cfg_lstatus,
158
  cfg_lcommand,
159
  cfg_dcommand2,
160
  cfg_pcie_link_state_n,
161
  cfg_dsn,
162
  cfg_pmcsr_pme_en,
163
  cfg_pmcsr_pme_status,
164
  cfg_pmcsr_powerstate,
165
 
166
  //-------------------------------------------------------
167
  // 4. Physical Layer Control and Status (PL) Interface
168
  //-------------------------------------------------------
169
 
170
  pl_initial_link_width,
171
  pl_lane_reversal_mode,
172
  pl_link_gen2_capable,
173
  pl_link_partner_gen2_supported,
174
  pl_link_upcfg_capable,
175
  pl_ltssm_state,
176
  pl_received_hot_rst,
177
  pl_sel_link_rate,
178
  pl_sel_link_width,
179
  pl_directed_link_auton,
180
  pl_directed_link_change,
181
  pl_directed_link_speed,
182
  pl_directed_link_width,
183
  pl_upstream_prefer_deemph,
184
 
185
  //-------------------------------------------------------
186
  // 5. System  (SYS) Interface
187
  //-------------------------------------------------------
188
 
189
  sys_clk,
190
  sys_reset_n
191
 
192
 
193
); //synthesis syn_black_box
194
 
195
 
196
 
197
 
198
 
199
 
200
 
201
  //-------------------------------------------------------
202
  // 1. PCI Express (pci_exp) Interface
203
  //-------------------------------------------------------
204
 
205
  // Tx
206
  output  [(4 - 1):0]          pci_exp_txp;
207
  output  [(4 - 1):0]          pci_exp_txn;
208
 
209
  // Rx
210
  input   [(4 - 1):0]          pci_exp_rxp;
211
  input   [(4 - 1):0]          pci_exp_rxn;
212
 
213
  //-------------------------------------------------------
214
  // 2. Transaction (TRN) Interface
215
  //-------------------------------------------------------
216
 
217
  // Common
218
  output                                        trn_clk;
219
  output                                        trn_reset_n;
220
  output                                        trn_lnk_up_n;
221
 
222
  // Tx
223
  output  [5:0]                                 trn_tbuf_av;
224
  output                                        trn_tcfg_req_n;
225
  output                                        trn_terr_drop_n;
226
  output                                        trn_tdst_rdy_n;
227
  input   [63:0]                                trn_td;
228
  input                                         trn_trem_n;
229
  input                                         trn_tsof_n;
230
  input                                         trn_teof_n;
231
  input                                         trn_tsrc_rdy_n;
232
  input                                         trn_tsrc_dsc_n;
233
  input                                         trn_terrfwd_n;
234
  input                                         trn_tcfg_gnt_n;
235
  input                                         trn_tstr_n;
236
 
237
  // Rx
238
  output  [63:0]                                trn_rd;
239
  output                                        trn_rrem_n;
240
  output                                        trn_rsof_n;
241
  output                                        trn_reof_n;
242
  output                                        trn_rsrc_rdy_n;
243
  output                                        trn_rsrc_dsc_n;
244
  output                                        trn_rerrfwd_n;
245
  output  [6:0]                                 trn_rbar_hit_n;
246
  input                                         trn_rdst_rdy_n;
247
  input                                         trn_rnp_ok_n;
248
 
249
  // Flow Control
250
  output [11:0]                                 trn_fc_cpld;
251
  output  [7:0]                                 trn_fc_cplh;
252
  output [11:0]                                 trn_fc_npd;
253
  output  [7:0]                                 trn_fc_nph;
254
  output [11:0]                                 trn_fc_pd;
255
  output  [7:0]                                 trn_fc_ph;
256
  input   [2:0]                                 trn_fc_sel;
257
 
258
 
259
  //-------------------------------------------------------
260
  // 3. Configuration (CFG) Interface
261
  //-------------------------------------------------------
262
 
263
  output [31:0]                                 cfg_do;
264
  output                                        cfg_rd_wr_done_n;
265
  input  [31:0]                                 cfg_di;
266
  input   [3:0]                                 cfg_byte_en_n;
267
  input   [9:0]                                 cfg_dwaddr;
268
  input                                         cfg_wr_en_n;
269
  input                                         cfg_rd_en_n;
270
 
271
  input                                         cfg_err_cor_n;
272
  input                                         cfg_err_ur_n;
273
  input                                         cfg_err_ecrc_n;
274
  input                                         cfg_err_cpl_timeout_n;
275
  input                                         cfg_err_cpl_abort_n;
276
  input                                         cfg_err_cpl_unexpect_n;
277
  input                                         cfg_err_posted_n;
278
  input                                         cfg_err_locked_n;
279
  input  [47:0]                                 cfg_err_tlp_cpl_header;
280
  output                                        cfg_err_cpl_rdy_n;
281
  input                                         cfg_interrupt_n;
282
  output                                        cfg_interrupt_rdy_n;
283
  input                                         cfg_interrupt_assert_n;
284
  input  [7:0]                                  cfg_interrupt_di;
285
  output [7:0]                                  cfg_interrupt_do;
286
  output [2:0]                                  cfg_interrupt_mmenable;
287
  output                                        cfg_interrupt_msienable;
288
  output                                        cfg_interrupt_msixenable;
289
  output                                        cfg_interrupt_msixfm;
290
  input                                         cfg_turnoff_ok_n;
291
  output                                        cfg_to_turnoff_n;
292
  input                                         cfg_trn_pending_n;
293
  input                                         cfg_pm_wake_n;
294
  output  [7:0]                                 cfg_bus_number;
295
  output  [4:0]                                 cfg_device_number;
296
  output  [2:0]                                 cfg_function_number;
297
  output [15:0]                                 cfg_status;
298
  output [15:0]                                 cfg_command;
299
  output [15:0]                                 cfg_dstatus;
300
  output [15:0]                                 cfg_dcommand;
301
  output [15:0]                                 cfg_lstatus;
302
  output [15:0]                                 cfg_lcommand;
303
  output [15:0]                                 cfg_dcommand2;
304
  output  [2:0]                                 cfg_pcie_link_state_n;
305
  input  [63:0]                                 cfg_dsn;
306
  output                                        cfg_pmcsr_pme_en;
307
  output                                        cfg_pmcsr_pme_status;
308
  output  [1:0]                                 cfg_pmcsr_powerstate;
309
 
310
  //-------------------------------------------------------
311
  // 4. Physical Layer Control and Status (PL) Interface
312
  //-------------------------------------------------------
313
 
314
  output [2:0]                                  pl_initial_link_width;
315
  output [1:0]                                  pl_lane_reversal_mode;
316
  output                                        pl_link_gen2_capable;
317
  output                                        pl_link_partner_gen2_supported;
318
  output                                        pl_link_upcfg_capable;
319
  output [5:0]                                  pl_ltssm_state;
320
  output                                        pl_received_hot_rst;
321
  output                                        pl_sel_link_rate;
322
  output [1:0]                                  pl_sel_link_width;
323
  input                                         pl_directed_link_auton;
324
  input  [1:0]                                  pl_directed_link_change;
325
  input                                         pl_directed_link_speed;
326
  input  [1:0]                                  pl_directed_link_width;
327
  input                                         pl_upstream_prefer_deemph;
328
 
329
  //-------------------------------------------------------
330
  // 5. System  (SYS) Interface
331
  //-------------------------------------------------------
332
 
333
  input                                         sys_clk;
334
  input                                         sys_reset_n;
335
 
336
 
337
endmodule // v6_pcie_v1_6
338
 
339
 
340
 
341
 
342
 
343
 
344
 
345
 
346
 
347
 
348
 
349
 
350
 
351
 
352
 
353
 
354
 
355
 
356
 
357
 
358
 
359
 
360
 
361
 
362
 
363
 
364
 
365
 
366
 
367
 
368
 
369
 
370
 
371
 
372
 
373
 
374
 
375
 
376
 
377
 
378
 
379
 
380
 
381
 
382
 
383
 
384
 
385
 
386
 
387
 
388
 
389
 
390
 
391
 
392
 
393
 
394
 
395
 
396
 
397
 
398
 
399
 
400
 
401
 
402
 
403
 
404
 
405
 
406
 
407
 
408
 
409
 
410
 
411
 
412
 
413
 
414
 
415
 
416
 
417
 
418
 
419
 
420
 
421
 
422
 
423
 
424
 
425
 
426
 
427
 
428
 
429
 
430
 
431
 
432
 
433
 
434
 
435
 
436
 
437
 
438
 
439
 
440
 
441
 
442
 
443
 
444
 
445
 
446
 
447
 
448
 
449
 
450
 
451
 
452
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.