OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [tmp/] [backup_v6_pcie_v1_6/] [v6_pcie_v1_6/] [source/] [pcie_pipe_lane_v6.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
-------------------------------------------------------------------------------
2
--
3
-- (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
4
--
5
-- This file contains confidential and proprietary information
6
-- of Xilinx, Inc. and is protected under U.S. and
7
-- international copyright and other intellectual property
8
-- laws.
9
--
10
-- DISCLAIMER
11
-- This disclaimer is not a license and does not grant any
12
-- rights to the materials distributed herewith. Except as
13
-- otherwise provided in a valid license issued to you by
14
-- Xilinx, and to the maximum extent permitted by applicable
15
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
-- (2) Xilinx shall not be liable (whether in contract or tort,
21
-- including negligence, or under any other theory of
22
-- liability) for any loss or damage of any kind or nature
23
-- related to, arising under or in connection with these
24
-- materials, including for any direct, or any indirect,
25
-- special, incidental, or consequential loss or damage
26
-- (including loss of data, profits, goodwill, or any type of
27
-- loss or damage suffered as a result of any action brought
28
-- by a third party) even if such damage or loss was
29
-- reasonably foreseeable or Xilinx had been advised of the
30
-- possibility of the same.
31
--
32
-- CRITICAL APPLICATIONS
33
-- Xilinx products are not designed or intended to be fail-
34
-- safe, or for use in any application requiring fail-safe
35
-- performance, such as life-support or safety devices or
36
-- systems, Class III medical devices, nuclear facilities,
37
-- applications related to the deployment of airbags, or any
38
-- other applications that could lead to death, personal
39
-- injury, or severe property or environmental damage
40
-- (individually and collectively, "Critical
41
-- Applications"). Customer assumes the sole risk and
42
-- liability of any use of Xilinx products in Critical
43
-- Applications, subject only to applicable laws and
44
-- regulations governing limitations on product liability.
45
--
46
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
-- PART OF THIS FILE AT ALL TIMES.
48
--
49
-------------------------------------------------------------------------------
50
-- Project    : Virtex-6 Integrated Block for PCI Express
51
-- File       : pcie_pipe_lane_v6.vhd
52
-- Version    : 1.6
53
---- Description: PIPE per lane module for Virtex6 PCIe Block
54
----
55
----
56
----
57
----------------------------------------------------------------------------------
58
 
59
library ieee;
60
   use ieee.std_logic_1164.all;
61
 
62
entity pcie_pipe_lane_v6 is
63
   generic (
64
      PIPE_PIPELINE_STAGES                         : integer := 0                -- 0 - 0 stages, 1 - 1 stage, 2 - 2 stages
65
   );
66
   port (
67
      pipe_rx_char_is_k_o                          : out std_logic_vector(1 downto 0);
68
      pipe_rx_data_o                               : out std_logic_vector(15 downto 0);
69
      pipe_rx_valid_o                              : out std_logic;
70
      pipe_rx_chanisaligned_o                      : out std_logic;
71
      pipe_rx_status_o                             : out std_logic_vector(2 downto 0);
72
      pipe_rx_phy_status_o                         : out std_logic;
73
      pipe_rx_elec_idle_o                          : out std_logic;
74
      pipe_rx_polarity_i                           : in std_logic;
75
      pipe_tx_compliance_i                         : in std_logic;
76
      pipe_tx_char_is_k_i                          : in std_logic_vector(1 downto 0);
77
      pipe_tx_data_i                               : in std_logic_vector(15 downto 0);
78
      pipe_tx_elec_idle_i                          : in std_logic;
79
      pipe_tx_powerdown_i                          : in std_logic_vector(1 downto 0);
80
      pipe_rx_char_is_k_i                          : in std_logic_vector(1 downto 0);
81
      pipe_rx_data_i                               : in std_logic_vector(15 downto 0);
82
      pipe_rx_valid_i                              : in std_logic;
83
      pipe_rx_chanisaligned_i                      : in std_logic;
84
      pipe_rx_status_i                             : in std_logic_vector(2 downto 0);
85
      pipe_rx_phy_status_i                         : in std_logic;
86
      pipe_rx_elec_idle_i                          : in std_logic;
87
      pipe_rx_polarity_o                           : out std_logic;
88
      pipe_tx_compliance_o                         : out std_logic;
89
      pipe_tx_char_is_k_o                          : out std_logic_vector(1 downto 0);
90
      pipe_tx_data_o                               : out std_logic_vector(15 downto 0);
91
      pipe_tx_elec_idle_o                          : out std_logic;
92
      pipe_tx_powerdown_o                          : out std_logic_vector(1 downto 0);
93
      pipe_clk                                     : in std_logic;
94
      rst_n                                        : in std_logic
95
   );
96
end pcie_pipe_lane_v6;
97
 
98
architecture v6_pcie of pcie_pipe_lane_v6 is
99
 
100
      --******************************************************************//
101
      -- Reality check.                                                   //
102
      --******************************************************************//
103
 
104
   constant TCQ                                    : integer := 1;              -- clock to out delay model
105
   signal pipe_rx_char_is_k_q                      : std_logic_vector(1 downto 0);
106
   signal pipe_rx_data_q                           : std_logic_vector(15 downto 0);
107
   signal pipe_rx_valid_q                          : std_logic;
108
   signal pipe_rx_chanisaligned_q                  : std_logic;
109
   signal pipe_rx_status_q                         : std_logic_vector(2 downto 0);
110
   signal pipe_rx_phy_status_q                     : std_logic;
111
   signal pipe_rx_elec_idle_q                      : std_logic;
112
 
113
   signal pipe_rx_polarity_q                       : std_logic;
114
   signal pipe_tx_compliance_q                     : std_logic;
115
   signal pipe_tx_char_is_k_q                      : std_logic_vector(1 downto 0);
116
   signal pipe_tx_data_q                           : std_logic_vector(15 downto 0);
117
   signal pipe_tx_elec_idle_q                      : std_logic;
118
   signal pipe_tx_powerdown_q                      : std_logic_vector(1 downto 0);
119
 
120
   signal pipe_rx_char_is_k_qq                     : std_logic_vector(1 downto 0);
121
   signal pipe_rx_data_qq                          : std_logic_vector(15 downto 0);
122
   signal pipe_rx_valid_qq                         : std_logic;
123
   signal pipe_rx_chanisaligned_qq                 : std_logic;
124
   signal pipe_rx_status_qq                        : std_logic_vector(2 downto 0);
125
   signal pipe_rx_phy_status_qq                    : std_logic;
126
   signal pipe_rx_elec_idle_qq                     : std_logic;
127
 
128
   signal pipe_rx_polarity_qq                      : std_logic;
129
   signal pipe_tx_compliance_qq                    : std_logic;
130
   signal pipe_tx_char_is_k_qq                     : std_logic_vector(1 downto 0);
131
   signal pipe_tx_data_qq                          : std_logic_vector(15 downto 0);
132
   signal pipe_tx_elec_idle_qq                     : std_logic;
133
   signal pipe_tx_powerdown_qq                     : std_logic_vector(1 downto 0);
134
begin
135
 
136
   v6pcie0 : if (PIPE_PIPELINE_STAGES = 0) generate
137
 
138
      pipe_rx_char_is_k_o <= pipe_rx_char_is_k_i;
139
      pipe_rx_data_o <= pipe_rx_data_i;
140
      pipe_rx_valid_o <= pipe_rx_valid_i;
141
      pipe_rx_chanisaligned_o <= pipe_rx_chanisaligned_i;
142
      pipe_rx_status_o <= pipe_rx_status_i;
143
      pipe_rx_phy_status_o <= pipe_rx_phy_status_i;
144
      pipe_rx_elec_idle_o <= pipe_rx_elec_idle_i;
145
 
146
      pipe_rx_polarity_o <= pipe_rx_polarity_i;
147
      pipe_tx_compliance_o <= pipe_tx_compliance_i;
148
      pipe_tx_char_is_k_o <= pipe_tx_char_is_k_i;
149
      pipe_tx_data_o <= pipe_tx_data_i;
150
      pipe_tx_elec_idle_o <= pipe_tx_elec_idle_i;
151
      pipe_tx_powerdown_o <= pipe_tx_powerdown_i;
152
 
153
   end generate;
154
   v6pcie1 : if (PIPE_PIPELINE_STAGES = 1) generate
155
 
156
      process (pipe_clk)
157
      begin
158
         if (pipe_clk'event and pipe_clk = '1') then
159
 
160
            if (rst_n = '1') then
161
 
162
               pipe_rx_char_is_k_q <= "00" after (TCQ)*1 ps;
163
               pipe_rx_data_q <= "0000000000000000" after (TCQ)*1 ps;
164
               pipe_rx_valid_q <= '0' after (TCQ)*1 ps;
165
               pipe_rx_chanisaligned_q <= '0' after (TCQ)*1 ps;
166
               pipe_rx_status_q <= "000" after (TCQ)*1 ps;
167
               pipe_rx_phy_status_q <= '0' after (TCQ)*1 ps;
168
 
169
               pipe_rx_elec_idle_q <= '0' after (TCQ)*1 ps;
170
               pipe_rx_polarity_q <= '0' after (TCQ)*1 ps;
171
               pipe_tx_compliance_q <= '0' after (TCQ)*1 ps;
172
               pipe_tx_char_is_k_q <= "00" after (TCQ)*1 ps;
173
               pipe_tx_data_q <= "0000000000000000" after (TCQ)*1 ps;
174
               pipe_tx_elec_idle_q <= '1' after (TCQ)*1 ps;
175
 
176
               pipe_tx_powerdown_q <= "10" after (TCQ)*1 ps;
177
            else
178
 
179
               pipe_rx_char_is_k_q <= pipe_rx_char_is_k_i after (TCQ)*1 ps;
180
               pipe_rx_data_q <= pipe_rx_data_i after (TCQ)*1 ps;
181
               pipe_rx_valid_q <= pipe_rx_valid_i after (TCQ)*1 ps;
182
               pipe_rx_chanisaligned_q <= pipe_rx_chanisaligned_i after (TCQ)*1 ps;
183
               pipe_rx_status_q <= pipe_rx_status_i after (TCQ)*1 ps;
184
               pipe_rx_phy_status_q <= pipe_rx_phy_status_i after (TCQ)*1 ps;
185
 
186
               pipe_rx_elec_idle_q <= pipe_rx_elec_idle_i after (TCQ)*1 ps;
187
               pipe_rx_polarity_q <= pipe_rx_polarity_i after (TCQ)*1 ps;
188
               pipe_tx_compliance_q <= pipe_tx_compliance_i after (TCQ)*1 ps;
189
               pipe_tx_char_is_k_q <= pipe_tx_char_is_k_i after (TCQ)*1 ps;
190
               pipe_tx_data_q <= pipe_tx_data_i after (TCQ)*1 ps;
191
               pipe_tx_elec_idle_q <= pipe_tx_elec_idle_i after (TCQ)*1 ps;
192
 
193
               pipe_tx_powerdown_q <= pipe_tx_powerdown_i after (TCQ)*1 ps;
194
            end if;
195
         end if;
196
      end process;
197
 
198
 
199
      pipe_rx_char_is_k_o <= pipe_rx_char_is_k_q;
200
      pipe_rx_data_o <= pipe_rx_data_q;
201
      pipe_rx_valid_o <= pipe_rx_valid_q;
202
      pipe_rx_chanisaligned_o <= pipe_rx_chanisaligned_q;
203
      pipe_rx_status_o <= pipe_rx_status_q;
204
      pipe_rx_phy_status_o <= pipe_rx_phy_status_q;
205
      pipe_rx_elec_idle_o <= pipe_rx_elec_idle_q;
206
 
207
      pipe_rx_polarity_o <= pipe_rx_polarity_q;
208
      pipe_tx_compliance_o <= pipe_tx_compliance_q;
209
      pipe_tx_char_is_k_o <= pipe_tx_char_is_k_q;
210
      pipe_tx_data_o <= pipe_tx_data_q;
211
      pipe_tx_elec_idle_o <= pipe_tx_elec_idle_q;
212
      pipe_tx_powerdown_o <= pipe_tx_powerdown_q;
213
 
214
   end generate;
215
   v6pcie3 : if (PIPE_PIPELINE_STAGES = 2) generate
216
 
217
      process (pipe_clk)
218
      begin
219
         if (pipe_clk'event and pipe_clk = '1') then
220
 
221
            if (rst_n = '1') then
222
 
223
               pipe_rx_char_is_k_q <= "00" after (TCQ)*1 ps;
224
               pipe_rx_data_q <= "0000000000000000" after (TCQ)*1 ps;
225
               pipe_rx_valid_q <= '0' after (TCQ)*1 ps;
226
               pipe_rx_chanisaligned_q <= '0' after (TCQ)*1 ps;
227
               pipe_rx_status_q <= "000" after (TCQ)*1 ps;
228
               pipe_rx_phy_status_q <= '0' after (TCQ)*1 ps;
229
 
230
               pipe_rx_elec_idle_q <= '0' after (TCQ)*1 ps;
231
               pipe_rx_polarity_q <= '0' after (TCQ)*1 ps;
232
               pipe_tx_compliance_q <= '0' after (TCQ)*1 ps;
233
               pipe_tx_char_is_k_q <= "00" after (TCQ)*1 ps;
234
               pipe_tx_data_q <= "0000000000000000" after (TCQ)*1 ps;
235
               pipe_tx_elec_idle_q <= '1' after (TCQ)*1 ps;
236
               pipe_tx_powerdown_q <= "10" after (TCQ)*1 ps;
237
 
238
               pipe_rx_char_is_k_qq <= "00" after (TCQ)*1 ps;
239
               pipe_rx_data_qq <= "0000000000000000" after (TCQ)*1 ps;
240
               pipe_rx_valid_qq <= '0' after (TCQ)*1 ps;
241
               pipe_rx_chanisaligned_qq <= '0' after (TCQ)*1 ps;
242
               pipe_rx_status_qq <= "000" after (TCQ)*1 ps;
243
               pipe_rx_phy_status_qq <= '0' after (TCQ)*1 ps;
244
 
245
               pipe_rx_elec_idle_qq <= '0' after (TCQ)*1 ps;
246
               pipe_rx_polarity_qq <= '0' after (TCQ)*1 ps;
247
               pipe_tx_compliance_qq <= '0' after (TCQ)*1 ps;
248
               pipe_tx_char_is_k_qq <= "00" after (TCQ)*1 ps;
249
               pipe_tx_data_qq <= "0000000000000000" after (TCQ)*1 ps;
250
               pipe_tx_elec_idle_qq <= '1' after (TCQ)*1 ps;
251
               pipe_tx_powerdown_qq <= "10" after (TCQ)*1 ps;
252
            else
253
 
254
               pipe_rx_char_is_k_q <= pipe_rx_char_is_k_i after (TCQ)*1 ps;
255
               pipe_rx_data_q <= pipe_rx_data_i after (TCQ)*1 ps;
256
               pipe_rx_valid_q <= pipe_rx_valid_i after (TCQ)*1 ps;
257
               pipe_rx_chanisaligned_q <= pipe_rx_chanisaligned_i after (TCQ)*1 ps;
258
               pipe_rx_status_q <= pipe_rx_status_i after (TCQ)*1 ps;
259
               pipe_rx_phy_status_q <= pipe_rx_phy_status_i after (TCQ)*1 ps;
260
 
261
               pipe_rx_elec_idle_q <= pipe_rx_elec_idle_i after (TCQ)*1 ps;
262
               pipe_rx_polarity_q <= pipe_rx_polarity_i after (TCQ)*1 ps;
263
               pipe_tx_compliance_q <= pipe_tx_compliance_i after (TCQ)*1 ps;
264
               pipe_tx_char_is_k_q <= pipe_tx_char_is_k_i after (TCQ)*1 ps;
265
               pipe_tx_data_q <= pipe_tx_data_i after (TCQ)*1 ps;
266
               pipe_tx_elec_idle_q <= pipe_tx_elec_idle_i after (TCQ)*1 ps;
267
               pipe_tx_powerdown_q <= pipe_tx_powerdown_i after (TCQ)*1 ps;
268
 
269
               pipe_rx_char_is_k_qq <= pipe_rx_char_is_k_q after (TCQ)*1 ps;
270
               pipe_rx_data_qq <= pipe_rx_data_q after (TCQ)*1 ps;
271
               pipe_rx_valid_qq <= pipe_rx_valid_q after (TCQ)*1 ps;
272
               pipe_rx_chanisaligned_qq <= pipe_rx_chanisaligned_q after (TCQ)*1 ps;
273
               pipe_rx_status_qq <= pipe_rx_status_q after (TCQ)*1 ps;
274
               pipe_rx_phy_status_qq <= pipe_rx_phy_status_q after (TCQ)*1 ps;
275
 
276
               pipe_rx_elec_idle_qq <= pipe_rx_elec_idle_q after (TCQ)*1 ps;
277
               pipe_rx_polarity_qq <= pipe_rx_polarity_q after (TCQ)*1 ps;
278
               pipe_tx_compliance_qq <= pipe_tx_compliance_q after (TCQ)*1 ps;
279
               pipe_tx_char_is_k_qq <= pipe_tx_char_is_k_q after (TCQ)*1 ps;
280
               pipe_tx_data_qq <= pipe_tx_data_q after (TCQ)*1 ps;
281
               pipe_tx_elec_idle_qq <= pipe_tx_elec_idle_q after (TCQ)*1 ps;
282
               pipe_tx_powerdown_qq <= pipe_tx_powerdown_q after (TCQ)*1 ps;
283
            end if;
284
         end if;
285
      end process;
286
 
287
      pipe_rx_char_is_k_o <= pipe_rx_char_is_k_qq;
288
      pipe_rx_data_o <= pipe_rx_data_qq;
289
      pipe_rx_valid_o <= pipe_rx_valid_qq;
290
      pipe_rx_chanisaligned_o <= pipe_rx_chanisaligned_qq;
291
      pipe_rx_status_o <= pipe_rx_status_qq;
292
      pipe_rx_phy_status_o <= pipe_rx_phy_status_qq;
293
      pipe_rx_elec_idle_o <= pipe_rx_elec_idle_qq;
294
 
295
      pipe_rx_polarity_o <= pipe_rx_polarity_qq;
296
      pipe_tx_compliance_o <= pipe_tx_compliance_qq;
297
      pipe_tx_char_is_k_o <= pipe_tx_char_is_k_qq;
298
      pipe_tx_data_o <= pipe_tx_data_qq;
299
      pipe_tx_elec_idle_o <= pipe_tx_elec_idle_qq;
300
      pipe_tx_powerdown_o <= pipe_tx_powerdown_qq;
301
 
302
   end generate;
303
 
304
end v6_pcie;
305
 
306
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.