OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_afifo_256x36.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used solely      *
3
*     for design, simulation, implementation and creation of design files      *
4
*     limited to Xilinx devices or technologies. Use with non-Xilinx           *
5
*     devices or technologies is expressly prohibited and immediately          *
6
*     terminates your license.                                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY     *
9
*     FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY     *
10
*     PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE              *
11
*     IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS       *
12
*     MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY       *
13
*     CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY        *
14
*     RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY        *
15
*     DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE    *
16
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
17
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
18
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A    *
19
*     PARTICULAR PURPOSE.                                                      *
20
*                                                                              *
21
*     Xilinx products are not intended for use in life support appliances,     *
22
*     devices, or systems.  Use in such applications are expressly             *
23
*     prohibited.                                                              *
24
*                                                                              *
25
*     (c) Copyright 1995-2012 Xilinx, Inc.                                     *
26
*     All rights reserved.                                                     *
27
*******************************************************************************/
28
// You must compile the wrapper file v6_afifo_256x36.v when simulating
29
// the core, v6_afifo_256x36. When compiling the wrapper file, be sure to
30
// reference the XilinxCoreLib Verilog simulation library. For detailed
31
// instructions, please refer to the "CORE Generator Help".
32
 
33
// The synthesis directives "translate_off/translate_on" specified below are
34
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
35
// tools. Ensure they are correct for your synthesis tool(s).
36
 
37
`timescale 1ns/1ps
38
 
39
module v6_afifo_256x36(
40
  rst,
41
  wr_clk,
42
  rd_clk,
43
  din,
44
  wr_en,
45
  rd_en,
46
  dout,
47
  full,
48
  empty,
49
  prog_full,
50
  prog_empty
51
);
52
 
53
input rst;
54
input wr_clk;
55
input rd_clk;
56
input [35 : 0] din;
57
input wr_en;
58
input rd_en;
59
output [35 : 0] dout;
60
output full;
61
output empty;
62
output prog_full;
63
output prog_empty;
64
 
65
// synthesis translate_off
66
 
67
  FIFO_GENERATOR_V8_3 #(
68
    .C_ADD_NGC_CONSTRAINT(0),
69
    .C_APPLICATION_TYPE_AXIS(0),
70
    .C_APPLICATION_TYPE_RACH(0),
71
    .C_APPLICATION_TYPE_RDCH(0),
72
    .C_APPLICATION_TYPE_WACH(0),
73
    .C_APPLICATION_TYPE_WDCH(0),
74
    .C_APPLICATION_TYPE_WRCH(0),
75
    .C_AXI_ADDR_WIDTH(32),
76
    .C_AXI_ARUSER_WIDTH(1),
77
    .C_AXI_AWUSER_WIDTH(1),
78
    .C_AXI_BUSER_WIDTH(1),
79
    .C_AXI_DATA_WIDTH(64),
80
    .C_AXI_ID_WIDTH(4),
81
    .C_AXI_RUSER_WIDTH(1),
82
    .C_AXI_TYPE(0),
83
    .C_AXI_WUSER_WIDTH(1),
84
    .C_AXIS_TDATA_WIDTH(64),
85
    .C_AXIS_TDEST_WIDTH(4),
86
    .C_AXIS_TID_WIDTH(8),
87
    .C_AXIS_TKEEP_WIDTH(4),
88
    .C_AXIS_TSTRB_WIDTH(4),
89
    .C_AXIS_TUSER_WIDTH(4),
90
    .C_AXIS_TYPE(0),
91
    .C_COMMON_CLOCK(0),
92
    .C_COUNT_TYPE(0),
93
    .C_DATA_COUNT_WIDTH(9),
94
    .C_DEFAULT_VALUE("BlankString"),
95
    .C_DIN_WIDTH(36),
96
    .C_DIN_WIDTH_AXIS(1),
97
    .C_DIN_WIDTH_RACH(32),
98
    .C_DIN_WIDTH_RDCH(64),
99
    .C_DIN_WIDTH_WACH(32),
100
    .C_DIN_WIDTH_WDCH(64),
101
    .C_DIN_WIDTH_WRCH(2),
102
    .C_DOUT_RST_VAL("0"),
103
    .C_DOUT_WIDTH(36),
104
    .C_ENABLE_RLOCS(0),
105
    .C_ENABLE_RST_SYNC(1),
106
    .C_ERROR_INJECTION_TYPE(0),
107
    .C_ERROR_INJECTION_TYPE_AXIS(0),
108
    .C_ERROR_INJECTION_TYPE_RACH(0),
109
    .C_ERROR_INJECTION_TYPE_RDCH(0),
110
    .C_ERROR_INJECTION_TYPE_WACH(0),
111
    .C_ERROR_INJECTION_TYPE_WDCH(0),
112
    .C_ERROR_INJECTION_TYPE_WRCH(0),
113
    .C_FAMILY("virtex6"),
114
    .C_FULL_FLAGS_RST_VAL(1),
115
    .C_HAS_ALMOST_EMPTY(0),
116
    .C_HAS_ALMOST_FULL(0),
117
    .C_HAS_AXI_ARUSER(0),
118
    .C_HAS_AXI_AWUSER(0),
119
    .C_HAS_AXI_BUSER(0),
120
    .C_HAS_AXI_RD_CHANNEL(0),
121
    .C_HAS_AXI_RUSER(0),
122
    .C_HAS_AXI_WR_CHANNEL(0),
123
    .C_HAS_AXI_WUSER(0),
124
    .C_HAS_AXIS_TDATA(0),
125
    .C_HAS_AXIS_TDEST(0),
126
    .C_HAS_AXIS_TID(0),
127
    .C_HAS_AXIS_TKEEP(0),
128
    .C_HAS_AXIS_TLAST(0),
129
    .C_HAS_AXIS_TREADY(1),
130
    .C_HAS_AXIS_TSTRB(0),
131
    .C_HAS_AXIS_TUSER(0),
132
    .C_HAS_BACKUP(0),
133
    .C_HAS_DATA_COUNT(0),
134
    .C_HAS_DATA_COUNTS_AXIS(0),
135
    .C_HAS_DATA_COUNTS_RACH(0),
136
    .C_HAS_DATA_COUNTS_RDCH(0),
137
    .C_HAS_DATA_COUNTS_WACH(0),
138
    .C_HAS_DATA_COUNTS_WDCH(0),
139
    .C_HAS_DATA_COUNTS_WRCH(0),
140
    .C_HAS_INT_CLK(0),
141
    .C_HAS_MASTER_CE(0),
142
    .C_HAS_MEMINIT_FILE(0),
143
    .C_HAS_OVERFLOW(0),
144
    .C_HAS_PROG_FLAGS_AXIS(0),
145
    .C_HAS_PROG_FLAGS_RACH(0),
146
    .C_HAS_PROG_FLAGS_RDCH(0),
147
    .C_HAS_PROG_FLAGS_WACH(0),
148
    .C_HAS_PROG_FLAGS_WDCH(0),
149
    .C_HAS_PROG_FLAGS_WRCH(0),
150
    .C_HAS_RD_DATA_COUNT(0),
151
    .C_HAS_RD_RST(0),
152
    .C_HAS_RST(1),
153
    .C_HAS_SLAVE_CE(0),
154
    .C_HAS_SRST(0),
155
    .C_HAS_UNDERFLOW(0),
156
    .C_HAS_VALID(0),
157
    .C_HAS_WR_ACK(0),
158
    .C_HAS_WR_DATA_COUNT(0),
159
    .C_HAS_WR_RST(0),
160
    .C_IMPLEMENTATION_TYPE(2),
161
    .C_IMPLEMENTATION_TYPE_AXIS(1),
162
    .C_IMPLEMENTATION_TYPE_RACH(1),
163
    .C_IMPLEMENTATION_TYPE_RDCH(1),
164
    .C_IMPLEMENTATION_TYPE_WACH(1),
165
    .C_IMPLEMENTATION_TYPE_WDCH(1),
166
    .C_IMPLEMENTATION_TYPE_WRCH(1),
167
    .C_INIT_WR_PNTR_VAL(0),
168
    .C_INTERFACE_TYPE(0),
169
    .C_MEMORY_TYPE(1),
170
    .C_MIF_FILE_NAME("BlankString"),
171
    .C_MSGON_VAL(1),
172
    .C_OPTIMIZATION_MODE(0),
173
    .C_OVERFLOW_LOW(0),
174
    .C_PRELOAD_LATENCY(1),
175
    .C_PRELOAD_REGS(0),
176
    .C_PRIM_FIFO_TYPE("512x36"),
177
    .C_PROG_EMPTY_THRESH_ASSERT_VAL(8),
178
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
179
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
180
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
181
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
182
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
183
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
184
    .C_PROG_EMPTY_THRESH_NEGATE_VAL(9),
185
    .C_PROG_EMPTY_TYPE(1),
186
    .C_PROG_EMPTY_TYPE_AXIS(5),
187
    .C_PROG_EMPTY_TYPE_RACH(5),
188
    .C_PROG_EMPTY_TYPE_RDCH(5),
189
    .C_PROG_EMPTY_TYPE_WACH(5),
190
    .C_PROG_EMPTY_TYPE_WDCH(5),
191
    .C_PROG_EMPTY_TYPE_WRCH(5),
192
    .C_PROG_FULL_THRESH_ASSERT_VAL(320),
193
    .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
194
    .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
195
    .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
196
    .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
197
    .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
198
    .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
199
    .C_PROG_FULL_THRESH_NEGATE_VAL(319),
200
    .C_PROG_FULL_TYPE(1),
201
    .C_PROG_FULL_TYPE_AXIS(5),
202
    .C_PROG_FULL_TYPE_RACH(5),
203
    .C_PROG_FULL_TYPE_RDCH(5),
204
    .C_PROG_FULL_TYPE_WACH(5),
205
    .C_PROG_FULL_TYPE_WDCH(5),
206
    .C_PROG_FULL_TYPE_WRCH(5),
207
    .C_RACH_TYPE(0),
208
    .C_RD_DATA_COUNT_WIDTH(9),
209
    .C_RD_DEPTH(512),
210
    .C_RD_FREQ(1),
211
    .C_RD_PNTR_WIDTH(9),
212
    .C_RDCH_TYPE(0),
213
    .C_REG_SLICE_MODE_AXIS(0),
214
    .C_REG_SLICE_MODE_RACH(0),
215
    .C_REG_SLICE_MODE_RDCH(0),
216
    .C_REG_SLICE_MODE_WACH(0),
217
    .C_REG_SLICE_MODE_WDCH(0),
218
    .C_REG_SLICE_MODE_WRCH(0),
219
    .C_UNDERFLOW_LOW(0),
220
    .C_USE_COMMON_OVERFLOW(0),
221
    .C_USE_COMMON_UNDERFLOW(0),
222
    .C_USE_DEFAULT_SETTINGS(0),
223
    .C_USE_DOUT_RST(1),
224
    .C_USE_ECC(0),
225
    .C_USE_ECC_AXIS(0),
226
    .C_USE_ECC_RACH(0),
227
    .C_USE_ECC_RDCH(0),
228
    .C_USE_ECC_WACH(0),
229
    .C_USE_ECC_WDCH(0),
230
    .C_USE_ECC_WRCH(0),
231
    .C_USE_EMBEDDED_REG(0),
232
    .C_USE_FIFO16_FLAGS(0),
233
    .C_USE_FWFT_DATA_COUNT(0),
234
    .C_VALID_LOW(0),
235
    .C_WACH_TYPE(0),
236
    .C_WDCH_TYPE(0),
237
    .C_WR_ACK_LOW(0),
238
    .C_WR_DATA_COUNT_WIDTH(9),
239
    .C_WR_DEPTH(512),
240
    .C_WR_DEPTH_AXIS(1024),
241
    .C_WR_DEPTH_RACH(16),
242
    .C_WR_DEPTH_RDCH(1024),
243
    .C_WR_DEPTH_WACH(16),
244
    .C_WR_DEPTH_WDCH(1024),
245
    .C_WR_DEPTH_WRCH(16),
246
    .C_WR_FREQ(1),
247
    .C_WR_PNTR_WIDTH(9),
248
    .C_WR_PNTR_WIDTH_AXIS(10),
249
    .C_WR_PNTR_WIDTH_RACH(4),
250
    .C_WR_PNTR_WIDTH_RDCH(10),
251
    .C_WR_PNTR_WIDTH_WACH(4),
252
    .C_WR_PNTR_WIDTH_WDCH(10),
253
    .C_WR_PNTR_WIDTH_WRCH(4),
254
    .C_WR_RESPONSE_LATENCY(1),
255
    .C_WRCH_TYPE(0)
256
  )
257
  inst (
258
    .RST(rst),
259
    .WR_CLK(wr_clk),
260
    .RD_CLK(rd_clk),
261
    .DIN(din),
262
    .WR_EN(wr_en),
263
    .RD_EN(rd_en),
264
    .DOUT(dout),
265
    .FULL(full),
266
    .EMPTY(empty),
267
    .PROG_FULL(prog_full),
268
    .PROG_EMPTY(prog_empty),
269
    .BACKUP(),
270
    .BACKUP_MARKER(),
271
    .CLK(),
272
    .SRST(),
273
    .WR_RST(),
274
    .RD_RST(),
275
    .PROG_EMPTY_THRESH(),
276
    .PROG_EMPTY_THRESH_ASSERT(),
277
    .PROG_EMPTY_THRESH_NEGATE(),
278
    .PROG_FULL_THRESH(),
279
    .PROG_FULL_THRESH_ASSERT(),
280
    .PROG_FULL_THRESH_NEGATE(),
281
    .INT_CLK(),
282
    .INJECTDBITERR(),
283
    .INJECTSBITERR(),
284
    .ALMOST_FULL(),
285
    .WR_ACK(),
286
    .OVERFLOW(),
287
    .ALMOST_EMPTY(),
288
    .VALID(),
289
    .UNDERFLOW(),
290
    .DATA_COUNT(),
291
    .RD_DATA_COUNT(),
292
    .WR_DATA_COUNT(),
293
    .SBITERR(),
294
    .DBITERR(),
295
    .M_ACLK(),
296
    .S_ACLK(),
297
    .S_ARESETN(),
298
    .M_ACLK_EN(),
299
    .S_ACLK_EN(),
300
    .S_AXI_AWID(),
301
    .S_AXI_AWADDR(),
302
    .S_AXI_AWLEN(),
303
    .S_AXI_AWSIZE(),
304
    .S_AXI_AWBURST(),
305
    .S_AXI_AWLOCK(),
306
    .S_AXI_AWCACHE(),
307
    .S_AXI_AWPROT(),
308
    .S_AXI_AWQOS(),
309
    .S_AXI_AWREGION(),
310
    .S_AXI_AWUSER(),
311
    .S_AXI_AWVALID(),
312
    .S_AXI_AWREADY(),
313
    .S_AXI_WID(),
314
    .S_AXI_WDATA(),
315
    .S_AXI_WSTRB(),
316
    .S_AXI_WLAST(),
317
    .S_AXI_WUSER(),
318
    .S_AXI_WVALID(),
319
    .S_AXI_WREADY(),
320
    .S_AXI_BID(),
321
    .S_AXI_BRESP(),
322
    .S_AXI_BUSER(),
323
    .S_AXI_BVALID(),
324
    .S_AXI_BREADY(),
325
    .M_AXI_AWID(),
326
    .M_AXI_AWADDR(),
327
    .M_AXI_AWLEN(),
328
    .M_AXI_AWSIZE(),
329
    .M_AXI_AWBURST(),
330
    .M_AXI_AWLOCK(),
331
    .M_AXI_AWCACHE(),
332
    .M_AXI_AWPROT(),
333
    .M_AXI_AWQOS(),
334
    .M_AXI_AWREGION(),
335
    .M_AXI_AWUSER(),
336
    .M_AXI_AWVALID(),
337
    .M_AXI_AWREADY(),
338
    .M_AXI_WID(),
339
    .M_AXI_WDATA(),
340
    .M_AXI_WSTRB(),
341
    .M_AXI_WLAST(),
342
    .M_AXI_WUSER(),
343
    .M_AXI_WVALID(),
344
    .M_AXI_WREADY(),
345
    .M_AXI_BID(),
346
    .M_AXI_BRESP(),
347
    .M_AXI_BUSER(),
348
    .M_AXI_BVALID(),
349
    .M_AXI_BREADY(),
350
    .S_AXI_ARID(),
351
    .S_AXI_ARADDR(),
352
    .S_AXI_ARLEN(),
353
    .S_AXI_ARSIZE(),
354
    .S_AXI_ARBURST(),
355
    .S_AXI_ARLOCK(),
356
    .S_AXI_ARCACHE(),
357
    .S_AXI_ARPROT(),
358
    .S_AXI_ARQOS(),
359
    .S_AXI_ARREGION(),
360
    .S_AXI_ARUSER(),
361
    .S_AXI_ARVALID(),
362
    .S_AXI_ARREADY(),
363
    .S_AXI_RID(),
364
    .S_AXI_RDATA(),
365
    .S_AXI_RRESP(),
366
    .S_AXI_RLAST(),
367
    .S_AXI_RUSER(),
368
    .S_AXI_RVALID(),
369
    .S_AXI_RREADY(),
370
    .M_AXI_ARID(),
371
    .M_AXI_ARADDR(),
372
    .M_AXI_ARLEN(),
373
    .M_AXI_ARSIZE(),
374
    .M_AXI_ARBURST(),
375
    .M_AXI_ARLOCK(),
376
    .M_AXI_ARCACHE(),
377
    .M_AXI_ARPROT(),
378
    .M_AXI_ARQOS(),
379
    .M_AXI_ARREGION(),
380
    .M_AXI_ARUSER(),
381
    .M_AXI_ARVALID(),
382
    .M_AXI_ARREADY(),
383
    .M_AXI_RID(),
384
    .M_AXI_RDATA(),
385
    .M_AXI_RRESP(),
386
    .M_AXI_RLAST(),
387
    .M_AXI_RUSER(),
388
    .M_AXI_RVALID(),
389
    .M_AXI_RREADY(),
390
    .S_AXIS_TVALID(),
391
    .S_AXIS_TREADY(),
392
    .S_AXIS_TDATA(),
393
    .S_AXIS_TSTRB(),
394
    .S_AXIS_TKEEP(),
395
    .S_AXIS_TLAST(),
396
    .S_AXIS_TID(),
397
    .S_AXIS_TDEST(),
398
    .S_AXIS_TUSER(),
399
    .M_AXIS_TVALID(),
400
    .M_AXIS_TREADY(),
401
    .M_AXIS_TDATA(),
402
    .M_AXIS_TSTRB(),
403
    .M_AXIS_TKEEP(),
404
    .M_AXIS_TLAST(),
405
    .M_AXIS_TID(),
406
    .M_AXIS_TDEST(),
407
    .M_AXIS_TUSER(),
408
    .AXI_AW_INJECTSBITERR(),
409
    .AXI_AW_INJECTDBITERR(),
410
    .AXI_AW_PROG_FULL_THRESH(),
411
    .AXI_AW_PROG_EMPTY_THRESH(),
412
    .AXI_AW_DATA_COUNT(),
413
    .AXI_AW_WR_DATA_COUNT(),
414
    .AXI_AW_RD_DATA_COUNT(),
415
    .AXI_AW_SBITERR(),
416
    .AXI_AW_DBITERR(),
417
    .AXI_AW_OVERFLOW(),
418
    .AXI_AW_UNDERFLOW(),
419
    .AXI_W_INJECTSBITERR(),
420
    .AXI_W_INJECTDBITERR(),
421
    .AXI_W_PROG_FULL_THRESH(),
422
    .AXI_W_PROG_EMPTY_THRESH(),
423
    .AXI_W_DATA_COUNT(),
424
    .AXI_W_WR_DATA_COUNT(),
425
    .AXI_W_RD_DATA_COUNT(),
426
    .AXI_W_SBITERR(),
427
    .AXI_W_DBITERR(),
428
    .AXI_W_OVERFLOW(),
429
    .AXI_W_UNDERFLOW(),
430
    .AXI_B_INJECTSBITERR(),
431
    .AXI_B_INJECTDBITERR(),
432
    .AXI_B_PROG_FULL_THRESH(),
433
    .AXI_B_PROG_EMPTY_THRESH(),
434
    .AXI_B_DATA_COUNT(),
435
    .AXI_B_WR_DATA_COUNT(),
436
    .AXI_B_RD_DATA_COUNT(),
437
    .AXI_B_SBITERR(),
438
    .AXI_B_DBITERR(),
439
    .AXI_B_OVERFLOW(),
440
    .AXI_B_UNDERFLOW(),
441
    .AXI_AR_INJECTSBITERR(),
442
    .AXI_AR_INJECTDBITERR(),
443
    .AXI_AR_PROG_FULL_THRESH(),
444
    .AXI_AR_PROG_EMPTY_THRESH(),
445
    .AXI_AR_DATA_COUNT(),
446
    .AXI_AR_WR_DATA_COUNT(),
447
    .AXI_AR_RD_DATA_COUNT(),
448
    .AXI_AR_SBITERR(),
449
    .AXI_AR_DBITERR(),
450
    .AXI_AR_OVERFLOW(),
451
    .AXI_AR_UNDERFLOW(),
452
    .AXI_R_INJECTSBITERR(),
453
    .AXI_R_INJECTDBITERR(),
454
    .AXI_R_PROG_FULL_THRESH(),
455
    .AXI_R_PROG_EMPTY_THRESH(),
456
    .AXI_R_DATA_COUNT(),
457
    .AXI_R_WR_DATA_COUNT(),
458
    .AXI_R_RD_DATA_COUNT(),
459
    .AXI_R_SBITERR(),
460
    .AXI_R_DBITERR(),
461
    .AXI_R_OVERFLOW(),
462
    .AXI_R_UNDERFLOW(),
463
    .AXIS_INJECTSBITERR(),
464
    .AXIS_INJECTDBITERR(),
465
    .AXIS_PROG_FULL_THRESH(),
466
    .AXIS_PROG_EMPTY_THRESH(),
467
    .AXIS_DATA_COUNT(),
468
    .AXIS_WR_DATA_COUNT(),
469
    .AXIS_RD_DATA_COUNT(),
470
    .AXIS_SBITERR(),
471
    .AXIS_DBITERR(),
472
    .AXIS_OVERFLOW(),
473
    .AXIS_UNDERFLOW()
474
  );
475
 
476
// synthesis translate_on
477
 
478
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.