OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_bram4096x64_fast.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: O.76xd
8
--  \   \         Application: netgen
9
--  /   /         Filename: v6_bram4096x64_fast.vhd
10
-- /___/   /\     Timestamp: Mon Mar 26 15:34:52 2012
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -w -sim -ofmt vhdl "C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_bram4096x64_fast.ngc" "C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_bram4096x64_fast.vhd" 
15
-- Device       : 6vlx240tff1156-1
16
-- Input file   : C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_bram4096x64_fast.ngc
17
-- Output file  : C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_bram4096x64_fast.vhd
18
-- # of Entities        : 1
19
-- Design Name  : v6_bram4096x64_fast
20
-- Xilinx       : C:\Programmi\Xilinx\13.3\ISE_DS\ISE\
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Command Line Tools User Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity v6_bram4096x64_fast is
44
  port (
45
    clka : in STD_LOGIC := 'X';
46
    clkb : in STD_LOGIC := 'X';
47
    wea : in STD_LOGIC_VECTOR ( 7 downto 0 );
48
    addra : in STD_LOGIC_VECTOR ( 11 downto 0 );
49
    dina : in STD_LOGIC_VECTOR ( 63 downto 0 );
50
    web : in STD_LOGIC_VECTOR ( 7 downto 0 );
51
    addrb : in STD_LOGIC_VECTOR ( 11 downto 0 );
52
    dinb : in STD_LOGIC_VECTOR ( 63 downto 0 );
53
    douta : out STD_LOGIC_VECTOR ( 63 downto 0 );
54
    doutb : out STD_LOGIC_VECTOR ( 63 downto 0 )
55
  );
56
end v6_bram4096x64_fast;
57
 
58
architecture STRUCTURE of v6_bram4096x64_fast is
59
  signal N0 : STD_LOGIC;
60
  signal N1 : STD_LOGIC;
61
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
62
 
63
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
64
 
65
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
66
 
67
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
68
 
69
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
70
 
71
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
72
 
73
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
74
 
75
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
76
 
77
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
78
 
79
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
80
 
81
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
82
 
83
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
84
 
85
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
86
 
87
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
88
 
89
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
90
 
91
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
92
 
93
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
94
 
95
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
96
 
97
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
98
 
99
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
100
 
101
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
102
 
103
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
104
 
105
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
106
 
107
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
108
 
109
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
110
 
111
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
112
 
113
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
114
 
115
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
116
 
117
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
118
 
119
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
120
 
121
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
122
 
123
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
124
 
125
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
126
 
127
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
128
 
129
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
130
 
131
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
132
 
133
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
134
 
135
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
136
 
137
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
138
 
139
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
140
 
141
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
142
 
143
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
144
 
145
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
146
 
147
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
148
 
149
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
150
 
151
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
152
 
153
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
154
 
155
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
156
 
157
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
158
 
159
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
160
 
161
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
162
 
163
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
164
 
165
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
166
 
167
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
168
 
169
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
170
 
171
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
172
 
173
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
174
 
175
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
176
 
177
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
178
 
179
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
180
 
181
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
182
 
183
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
184
 
185
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
186
 
187
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
188
 
189
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
190
 
191
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
192
 
193
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
194
 
195
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
196
 
197
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
198
 
199
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
200
 
201
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
202
 
203
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
204
 
205
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
206
 
207
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
208
 
209
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
210
 
211
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
212
 
213
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
214
 
215
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
216
 
217
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
218
 
219
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
220
 
221
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
222
 
223
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
224
 
225
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
226
 
227
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
228
 
229
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
230
 
231
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
232
 
233
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
234
 
235
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
236
 
237
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
238
 
239
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
240
 
241
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
242
 
243
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
244
 
245
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
246
 
247
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
248
 
249
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
250
 
251
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
252
 
253
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
254
 
255
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
256
 
257
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
258
 
259
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
260
 
261
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
262
 
263
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
264
 
265
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
266
 
267
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
268
 
269
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
270
 
271
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
272
 
273
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
274
 
275
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
276
 
277
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
278
 
279
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
280
 
281
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
282
 
283
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
284
 
285
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
286
 
287
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
288
 
289
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
290
 
291
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
292
 
293
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
294
 
295
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
296
 
297
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
298
 
299
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
300
 
301
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
302
 
303
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
304
 
305
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
306
 
307
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
308
 
309
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
310
 
311
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
312
 
313
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
314
 
315
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
316
 
317
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
318
 
319
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
320
 
321
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
322
 
323
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
324
 
325
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
326
 
327
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
328
 
329
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
330
 
331
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
332
 
333
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
334
 
335
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
336
 
337
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
338
 
339
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
340
 
341
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
342
 
343
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
344
 
345
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
346
 
347
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
348
 
349
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
350
 
351
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
352
 
353
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
354
 
355
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
356
 
357
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
358
 
359
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
360
 
361
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
362
 
363
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
364
 
365
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
366
 
367
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
368
 
369
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
370
 
371
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
372
 
373
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
374
 
375
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
376
 
377
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
378
 
379
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
380
 
381
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
382
 
383
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
384
 
385
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
386
 
387
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
388
 
389
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
390
 
391
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
392
 
393
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
394
 
395
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
396
 
397
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
398
 
399
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
400
 
401
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
402
 
403
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
404
 
405
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
406
 
407
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
408
 
409
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
410
 
411
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
412
 
413
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
414
 
415
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
416
 
417
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
418
 
419
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
420
 
421
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
422
 
423
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
424
 
425
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
426
 
427
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
428
 
429
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
430
 
431
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
432
 
433
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
434
 
435
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
436
 
437
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
438
 
439
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
440
 
441
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
442
 
443
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
444
 
445
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
446
 
447
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
448
 
449
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
450
 
451
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
452
 
453
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
454
 
455
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
456
 
457
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
458
 
459
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
460
 
461
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
462
 
463
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
464
 
465
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
466
 
467
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
468
 
469
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
470
 
471
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
472
 
473
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
474
 
475
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
476
 
477
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
478
 
479
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
480
 
481
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
482
 
483
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
484
 
485
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
486
 
487
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
488
 
489
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
490
 
491
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
492
 
493
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
494
 
495
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
496
 
497
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
498
 
499
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
500
 
501
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
502
 
503
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
504
 
505
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
506
 
507
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
508
 
509
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
510
 
511
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
512
 
513
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
514
 
515
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
516
 
517
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
518
 
519
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
520
 
521
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
522
 
523
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
524
 
525
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
526
 
527
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
528
 
529
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
530
 
531
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
532
 
533
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
534
 
535
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
536
 
537
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
538
 
539
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
540
 
541
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
542
 
543
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
544
 
545
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
546
 
547
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
548
 
549
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
550
 
551
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
552
 
553
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
554
 
555
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
556
 
557
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
558
 
559
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
560
 
561
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
562
 
563
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
564
 
565
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
566
 
567
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
568
 
569
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
570
 
571
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
572
 
573
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
574
 
575
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
576
 
577
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
578
 
579
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
580
 
581
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
582
 
583
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
584
 
585
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
586
 
587
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
588
 
589
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
590
 
591
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
592
 
593
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
594
 
595
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
596
 
597
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
598
 
599
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
600
 
601
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
602
 
603
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
604
 
605
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
606
 
607
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
608
 
609
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
610
 
611
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
612
 
613
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
614
 
615
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
616
 
617
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
618
 
619
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
620
 
621
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
622
 
623
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
624
 
625
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
626
 
627
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
628
 
629
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
630
 
631
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
632
 
633
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
634
 
635
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
636
 
637
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
638
 
639
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
640
 
641
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
642
 
643
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
644
 
645
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
646
 
647
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
648
 
649
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
650
 
651
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
652
 
653
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
654
 
655
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
656
 
657
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
658
 
659
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
660
 
661
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
662
 
663
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
664
 
665
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
666
 
667
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
668
 
669
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
670
 
671
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
672
 
673
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
674
 
675
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
676
 
677
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
678
 
679
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
680
 
681
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
682
 
683
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
684
 
685
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
686
 
687
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
688
 
689
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
690
 
691
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
692
 
693
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
694
 
695
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
696
 
697
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
698
 
699
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
700
 
701
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
702
 
703
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
704
 
705
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
706
 
707
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
708
 
709
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
710
 
711
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
712
 
713
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
714
 
715
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
716
 
717
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
718
 
719
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
720
 
721
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
722
 
723
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
724
 
725
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
726
 
727
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
728
 
729
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
730
 
731
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
732
 
733
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
734
 
735
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
736
 
737
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
738
 
739
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
740
 
741
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
742
 
743
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
744
 
745
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
746
 
747
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
748
 
749
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
750
 
751
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
752
 
753
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
754
 
755
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
756
 
757
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
758
 
759
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
760
 
761
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
762
 
763
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
764
 
765
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
766
 
767
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
768
 
769
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
770
 
771
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
772
 
773
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
774
 
775
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
776
 
777
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
778
 
779
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
780
 
781
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
782
 
783
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
784
 
785
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
786
 
787
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
788
 
789
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
790
 
791
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
792
 
793
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
794
 
795
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
796
 
797
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
798
 
799
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
800
 
801
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
802
 
803
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
804
 
805
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
806
 
807
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
808
 
809
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
810
 
811
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
812
 
813
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
814
 
815
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
816
 
817
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
818
 
819
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
820
 
821
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
822
 
823
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
824
 
825
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
826
 
827
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
828
 
829
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
830
 
831
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
832
 
833
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
834
 
835
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
836
 
837
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
838
 
839
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
840
 
841
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
842
 
843
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
844
 
845
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
846
 
847
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
848
 
849
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
850
 
851
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
852
 
853
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
854
 
855
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
856
 
857
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
858
 
859
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
860
 
861
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
862
 
863
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
864
 
865
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
866
 
867
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
868
 
869
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
870
 
871
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
872
 
873
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
874
 
875
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
876
 
877
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
878
 
879
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
880
 
881
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
882
 
883
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
884
 
885
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
886
 
887
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
888
 
889
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
890
 
891
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
892
 
893
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
894
 
895
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
896
 
897
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
898
 
899
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
900
 
901
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
902
 
903
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
904
 
905
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
906
 
907
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
908
 
909
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
910
 
911
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
912
 
913
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
914
 
915
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
916
 
917
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
918
 
919
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
920
 
921
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
922
 
923
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
924
 
925
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
926
 
927
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
928
 
929
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
930
 
931
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
932
 
933
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
934
 
935
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
936
 
937
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
938
 
939
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
940
 
941
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
942
 
943
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
944
 
945
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
946
 
947
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
948
 
949
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
950
 
951
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
952
 
953
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
954
 
955
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
956
 
957
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
958
 
959
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
960
 
961
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
962
 
963
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
964
 
965
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
966
 
967
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
968
 
969
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
970
 
971
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
972
 
973
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
974
 
975
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
976
 
977
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
978
 
979
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
980
 
981
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
982
 
983
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
984
 
985
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
986
 
987
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
988
 
989
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
990
 
991
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
992
 
993
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
994
 
995
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
996
 
997
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
998
 
999
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
1000
 
1001
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
1002
 
1003
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
1004
 
1005
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
1006
 
1007
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
1008
 
1009
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
1010
 
1011
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
1012
 
1013
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
1014
 
1015
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
1016
 
1017
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
1018
 
1019
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
1020
 
1021
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
1022
 
1023
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
1024
 
1025
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
1026
 
1027
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
1028
 
1029
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
1030
 
1031
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
1032
 
1033
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
1034
 
1035
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
1036
 
1037
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
1038
 
1039
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
1040
 
1041
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
1042
 
1043
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
1044
 
1045
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
1046
 
1047
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
1048
 
1049
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
1050
 
1051
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
1052
 
1053
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
1054
 
1055
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
1056
 
1057
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
1058
 
1059
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
1060
 
1061
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
1062
 
1063
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
1064
 
1065
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
1066
 
1067
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
1068
 
1069
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
1070
 
1071
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
1072
 
1073
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
1074
 
1075
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
1076
 
1077
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
1078
 
1079
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
1080
 
1081
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
1082
 
1083
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
1084
 
1085
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
1086
 
1087
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
1088
 
1089
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
1090
 
1091
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
1092
 
1093
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
1094
 
1095
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
1096
 
1097
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
1098
 
1099
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
1100
 
1101
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
1102
 
1103
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
1104
 
1105
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
1106
 
1107
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
1108
 
1109
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
1110
 
1111
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
1112
 
1113
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
1114
 
1115
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
1116
 
1117
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
1118
 
1119
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
1120
 
1121
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
1122
 
1123
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
1124
 
1125
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
1126
 
1127
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
1128
 
1129
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
1130
 
1131
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
1132
 
1133
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
1134
 
1135
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
1136
 
1137
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
1138
 
1139
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
1140
 
1141
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
1142
 
1143
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED : STD_LOGIC;
1144
 
1145
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED : STD_LOGIC;
1146
 
1147
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED : STD_LOGIC;
1148
 
1149
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED : STD_LOGIC;
1150
 
1151
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED : STD_LOGIC;
1152
 
1153
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED : STD_LOGIC;
1154
 
1155
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED : STD_LOGIC;
1156
 
1157
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED : STD_LOGIC;
1158
 
1159
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED : STD_LOGIC;
1160
 
1161
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED : STD_LOGIC;
1162
 
1163
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED : STD_LOGIC;
1164
 
1165
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED : STD_LOGIC;
1166
 
1167
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED : STD_LOGIC;
1168
 
1169
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED : STD_LOGIC;
1170
 
1171
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED : STD_LOGIC;
1172
 
1173
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED : STD_LOGIC;
1174
 
1175
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED : STD_LOGIC;
1176
 
1177
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED : STD_LOGIC;
1178
 
1179
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED : STD_LOGIC;
1180
 
1181
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED : STD_LOGIC;
1182
 
1183
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED : STD_LOGIC;
1184
 
1185
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED : STD_LOGIC;
1186
 
1187
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED : STD_LOGIC;
1188
 
1189
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED : STD_LOGIC;
1190
 
1191
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED : STD_LOGIC;
1192
 
1193
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED : STD_LOGIC;
1194
 
1195
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED : STD_LOGIC;
1196
 
1197
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED : STD_LOGIC;
1198
 
1199
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED : STD_LOGIC;
1200
 
1201
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED : STD_LOGIC;
1202
 
1203
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED : STD_LOGIC;
1204
 
1205
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED : STD_LOGIC;
1206
 
1207
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED : STD_LOGIC;
1208
 
1209
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED : STD_LOGIC;
1210
 
1211
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED : STD_LOGIC;
1212
 
1213
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED : STD_LOGIC;
1214
 
1215
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED : STD_LOGIC;
1216
 
1217
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED : STD_LOGIC;
1218
 
1219
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED : STD_LOGIC;
1220
 
1221
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED : STD_LOGIC;
1222
 
1223
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED : STD_LOGIC;
1224
 
1225
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED : STD_LOGIC;
1226
 
1227
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED : STD_LOGIC;
1228
 
1229
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED : STD_LOGIC;
1230
 
1231
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED : STD_LOGIC;
1232
 
1233
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED : STD_LOGIC;
1234
 
1235
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED : STD_LOGIC;
1236
 
1237
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED : STD_LOGIC;
1238
 
1239
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED : STD_LOGIC;
1240
 
1241
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED : STD_LOGIC;
1242
 
1243
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED : STD_LOGIC;
1244
 
1245
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED : STD_LOGIC;
1246
 
1247
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED : STD_LOGIC;
1248
 
1249
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED : STD_LOGIC;
1250
 
1251
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED : STD_LOGIC;
1252
 
1253
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED : STD_LOGIC;
1254
 
1255
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED : STD_LOGIC;
1256
 
1257
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED : STD_LOGIC;
1258
 
1259
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED : STD_LOGIC;
1260
 
1261
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED : STD_LOGIC;
1262
 
1263
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED : STD_LOGIC;
1264
 
1265
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED : STD_LOGIC;
1266
 
1267
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED : STD_LOGIC;
1268
 
1269
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED : STD_LOGIC;
1270
 
1271
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED : STD_LOGIC;
1272
 
1273
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED : STD_LOGIC;
1274
 
1275
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED : STD_LOGIC;
1276
 
1277
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED : STD_LOGIC;
1278
 
1279
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED : STD_LOGIC;
1280
 
1281
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED : STD_LOGIC;
1282
 
1283
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED : STD_LOGIC;
1284
 
1285
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED : STD_LOGIC;
1286
 
1287
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED : STD_LOGIC;
1288
 
1289
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED : STD_LOGIC;
1290
 
1291
  signal NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED : STD_LOGIC;
1292
 
1293
begin
1294
  XST_VCC : VCC
1295
    port map (
1296
      P => N0
1297
    );
1298
  XST_GND : GND
1299
    port map (
1300
      G => N1
1301
    );
1302
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
1303
    generic map(
1304
      DOA_REG => 0,
1305
      DOB_REG => 0,
1306
      EN_ECC_READ => FALSE,
1307
      EN_ECC_WRITE => FALSE,
1308
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
1309
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
1310
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
1311
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
1312
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
1313
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
1314
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
1315
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
1316
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
1317
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
1318
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
1319
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
1320
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
1321
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
1322
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
1323
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
1324
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
1325
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
1326
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
1327
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
1328
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
1329
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
1330
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
1331
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
1332
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
1333
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
1334
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
1335
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
1336
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
1337
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
1338
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
1339
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
1340
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
1341
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
1342
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
1343
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
1344
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
1345
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
1346
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
1347
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
1348
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
1349
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
1350
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
1351
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
1352
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
1353
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
1354
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
1355
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
1356
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
1357
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
1358
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
1359
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
1360
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
1361
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
1362
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
1363
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
1364
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
1365
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
1366
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
1367
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
1368
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
1369
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
1370
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
1371
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
1372
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
1373
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
1374
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
1375
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
1376
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
1377
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
1378
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
1379
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
1380
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
1381
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
1382
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
1383
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
1384
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
1385
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
1386
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
1387
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
1388
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
1389
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
1390
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
1391
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
1392
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
1393
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
1394
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
1395
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
1396
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
1397
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
1398
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
1399
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
1400
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
1401
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
1402
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
1403
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
1404
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
1405
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
1406
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
1407
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
1408
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
1409
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
1410
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
1411
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
1412
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
1413
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
1414
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
1415
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
1416
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
1417
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
1418
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
1419
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
1420
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
1421
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
1422
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
1423
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
1424
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
1425
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
1426
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
1427
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
1428
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
1429
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
1430
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
1431
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
1432
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
1433
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
1434
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
1435
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
1436
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
1437
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
1438
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
1439
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
1440
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
1441
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
1442
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
1443
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
1444
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
1445
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
1446
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
1447
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
1448
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
1449
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
1450
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
1451
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
1452
      INIT_A => X"000000000",
1453
      INIT_B => X"000000000",
1454
      INIT_FILE => "NONE",
1455
      RAM_EXTENSION_A => "NONE",
1456
      RAM_EXTENSION_B => "NONE",
1457
      RAM_MODE => "TDP",
1458
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
1459
      READ_WIDTH_A => 9,
1460
      READ_WIDTH_B => 9,
1461
      RSTREG_PRIORITY_A => "REGCE",
1462
      RSTREG_PRIORITY_B => "REGCE",
1463
      SIM_COLLISION_CHECK => "ALL",
1464
      SIM_DEVICE => "VIRTEX6",
1465
      SRVAL_A => X"000000000",
1466
      SRVAL_B => X"000000000",
1467
      WRITE_MODE_A => "WRITE_FIRST",
1468
      WRITE_MODE_B => "WRITE_FIRST",
1469
      WRITE_WIDTH_A => 9,
1470
      WRITE_WIDTH_B => 9
1471
    )
1472
    port map (
1473
      CASCADEINA => N1,
1474
      CASCADEINB => N1,
1475
      CASCADEOUTA =>
1476
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
1477
      CASCADEOUTB =>
1478
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
1479
      CLKARDCLK => clka,
1480
      CLKBWRCLK => clkb,
1481
      DBITERR =>
1482
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
1483
      ENARDEN => N0,
1484
      ENBWREN => N0,
1485
      INJECTDBITERR => N1,
1486
      INJECTSBITERR => N1,
1487
      REGCEAREGCE => N1,
1488
      REGCEB => N1,
1489
      RSTRAMARSTRAM => N1,
1490
      RSTRAMB => N1,
1491
      RSTREGARSTREG => N1,
1492
      RSTREGB => N1,
1493
      SBITERR =>
1494
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
1495
      ADDRARDADDR(15) => N0,
1496
      ADDRARDADDR(14) => addra(11),
1497
      ADDRARDADDR(13) => addra(10),
1498
      ADDRARDADDR(12) => addra(9),
1499
      ADDRARDADDR(11) => addra(8),
1500
      ADDRARDADDR(10) => addra(7),
1501
      ADDRARDADDR(9) => addra(6),
1502
      ADDRARDADDR(8) => addra(5),
1503
      ADDRARDADDR(7) => addra(4),
1504
      ADDRARDADDR(6) => addra(3),
1505
      ADDRARDADDR(5) => addra(2),
1506
      ADDRARDADDR(4) => addra(1),
1507
      ADDRARDADDR(3) => addra(0),
1508
      ADDRARDADDR(2) => N1,
1509
      ADDRARDADDR(1) => N1,
1510
      ADDRARDADDR(0) => N1,
1511
      ADDRBWRADDR(15) => N0,
1512
      ADDRBWRADDR(14) => addrb(11),
1513
      ADDRBWRADDR(13) => addrb(10),
1514
      ADDRBWRADDR(12) => addrb(9),
1515
      ADDRBWRADDR(11) => addrb(8),
1516
      ADDRBWRADDR(10) => addrb(7),
1517
      ADDRBWRADDR(9) => addrb(6),
1518
      ADDRBWRADDR(8) => addrb(5),
1519
      ADDRBWRADDR(7) => addrb(4),
1520
      ADDRBWRADDR(6) => addrb(3),
1521
      ADDRBWRADDR(5) => addrb(2),
1522
      ADDRBWRADDR(4) => addrb(1),
1523
      ADDRBWRADDR(3) => addrb(0),
1524
      ADDRBWRADDR(2) => N1,
1525
      ADDRBWRADDR(1) => N1,
1526
      ADDRBWRADDR(0) => N1,
1527
      DIADI(31) => N1,
1528
      DIADI(30) => N1,
1529
      DIADI(29) => N1,
1530
      DIADI(28) => N1,
1531
      DIADI(27) => N1,
1532
      DIADI(26) => N1,
1533
      DIADI(25) => N1,
1534
      DIADI(24) => N1,
1535
      DIADI(23) => N1,
1536
      DIADI(22) => N1,
1537
      DIADI(21) => N1,
1538
      DIADI(20) => N1,
1539
      DIADI(19) => N1,
1540
      DIADI(18) => N1,
1541
      DIADI(17) => N1,
1542
      DIADI(16) => N1,
1543
      DIADI(15) => N1,
1544
      DIADI(14) => N1,
1545
      DIADI(13) => N1,
1546
      DIADI(12) => N1,
1547
      DIADI(11) => N1,
1548
      DIADI(10) => N1,
1549
      DIADI(9) => N1,
1550
      DIADI(8) => N1,
1551
      DIADI(7) => dina(63),
1552
      DIADI(6) => dina(62),
1553
      DIADI(5) => dina(61),
1554
      DIADI(4) => dina(60),
1555
      DIADI(3) => dina(59),
1556
      DIADI(2) => dina(58),
1557
      DIADI(1) => dina(57),
1558
      DIADI(0) => dina(56),
1559
      DIBDI(31) => N1,
1560
      DIBDI(30) => N1,
1561
      DIBDI(29) => N1,
1562
      DIBDI(28) => N1,
1563
      DIBDI(27) => N1,
1564
      DIBDI(26) => N1,
1565
      DIBDI(25) => N1,
1566
      DIBDI(24) => N1,
1567
      DIBDI(23) => N1,
1568
      DIBDI(22) => N1,
1569
      DIBDI(21) => N1,
1570
      DIBDI(20) => N1,
1571
      DIBDI(19) => N1,
1572
      DIBDI(18) => N1,
1573
      DIBDI(17) => N1,
1574
      DIBDI(16) => N1,
1575
      DIBDI(15) => N1,
1576
      DIBDI(14) => N1,
1577
      DIBDI(13) => N1,
1578
      DIBDI(12) => N1,
1579
      DIBDI(11) => N1,
1580
      DIBDI(10) => N1,
1581
      DIBDI(9) => N1,
1582
      DIBDI(8) => N1,
1583
      DIBDI(7) => dinb(63),
1584
      DIBDI(6) => dinb(62),
1585
      DIBDI(5) => dinb(61),
1586
      DIBDI(4) => dinb(60),
1587
      DIBDI(3) => dinb(59),
1588
      DIBDI(2) => dinb(58),
1589
      DIBDI(1) => dinb(57),
1590
      DIBDI(0) => dinb(56),
1591
      DIPADIP(3) => N1,
1592
      DIPADIP(2) => N1,
1593
      DIPADIP(1) => N1,
1594
      DIPADIP(0) => N1,
1595
      DIPBDIP(3) => N1,
1596
      DIPBDIP(2) => N1,
1597
      DIPBDIP(1) => N1,
1598
      DIPBDIP(0) => N1,
1599
      DOADO(31) =>
1600
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
1601
      DOADO(30) =>
1602
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
1603
      DOADO(29) =>
1604
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
1605
      DOADO(28) =>
1606
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
1607
      DOADO(27) =>
1608
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
1609
      DOADO(26) =>
1610
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
1611
      DOADO(25) =>
1612
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
1613
      DOADO(24) =>
1614
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
1615
      DOADO(23) =>
1616
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
1617
      DOADO(22) =>
1618
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
1619
      DOADO(21) =>
1620
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
1621
      DOADO(20) =>
1622
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
1623
      DOADO(19) =>
1624
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
1625
      DOADO(18) =>
1626
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
1627
      DOADO(17) =>
1628
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
1629
      DOADO(16) =>
1630
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
1631
      DOADO(15) =>
1632
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
1633
      DOADO(14) =>
1634
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
1635
      DOADO(13) =>
1636
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
1637
      DOADO(12) =>
1638
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
1639
      DOADO(11) =>
1640
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
1641
      DOADO(10) =>
1642
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
1643
      DOADO(9) =>
1644
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
1645
      DOADO(8) =>
1646
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
1647
      DOADO(7) => douta(63),
1648
      DOADO(6) => douta(62),
1649
      DOADO(5) => douta(61),
1650
      DOADO(4) => douta(60),
1651
      DOADO(3) => douta(59),
1652
      DOADO(2) => douta(58),
1653
      DOADO(1) => douta(57),
1654
      DOADO(0) => douta(56),
1655
      DOBDO(31) =>
1656
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
1657
      DOBDO(30) =>
1658
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
1659
      DOBDO(29) =>
1660
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
1661
      DOBDO(28) =>
1662
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
1663
      DOBDO(27) =>
1664
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
1665
      DOBDO(26) =>
1666
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
1667
      DOBDO(25) =>
1668
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
1669
      DOBDO(24) =>
1670
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
1671
      DOBDO(23) =>
1672
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
1673
      DOBDO(22) =>
1674
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
1675
      DOBDO(21) =>
1676
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
1677
      DOBDO(20) =>
1678
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
1679
      DOBDO(19) =>
1680
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
1681
      DOBDO(18) =>
1682
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
1683
      DOBDO(17) =>
1684
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
1685
      DOBDO(16) =>
1686
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
1687
      DOBDO(15) =>
1688
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
1689
      DOBDO(14) =>
1690
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
1691
      DOBDO(13) =>
1692
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
1693
      DOBDO(12) =>
1694
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
1695
      DOBDO(11) =>
1696
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
1697
      DOBDO(10) =>
1698
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
1699
      DOBDO(9) =>
1700
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
1701
      DOBDO(8) =>
1702
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
1703
      DOBDO(7) => doutb(63),
1704
      DOBDO(6) => doutb(62),
1705
      DOBDO(5) => doutb(61),
1706
      DOBDO(4) => doutb(60),
1707
      DOBDO(3) => doutb(59),
1708
      DOBDO(2) => doutb(58),
1709
      DOBDO(1) => doutb(57),
1710
      DOBDO(0) => doutb(56),
1711
      DOPADOP(3) =>
1712
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
1713
      DOPADOP(2) =>
1714
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
1715
      DOPADOP(1) =>
1716
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
1717
      DOPADOP(0) =>
1718
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
1719
      DOPBDOP(3) =>
1720
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
1721
      DOPBDOP(2) =>
1722
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
1723
      DOPBDOP(1) =>
1724
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
1725
      DOPBDOP(0) =>
1726
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
1727
      ECCPARITY(7) =>
1728
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
1729
      ECCPARITY(6) =>
1730
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
1731
      ECCPARITY(5) =>
1732
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
1733
      ECCPARITY(4) =>
1734
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
1735
      ECCPARITY(3) =>
1736
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
1737
      ECCPARITY(2) =>
1738
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
1739
      ECCPARITY(1) =>
1740
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
1741
      ECCPARITY(0) =>
1742
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
1743
      RDADDRECC(8) =>
1744
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
1745
      RDADDRECC(7) =>
1746
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
1747
      RDADDRECC(6) =>
1748
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
1749
      RDADDRECC(5) =>
1750
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
1751
      RDADDRECC(4) =>
1752
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
1753
      RDADDRECC(3) =>
1754
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
1755
      RDADDRECC(2) =>
1756
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
1757
      RDADDRECC(1) =>
1758
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
1759
      RDADDRECC(0) =>
1760
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_7_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
1761
      WEA(3) => wea(7),
1762
      WEA(2) => wea(7),
1763
      WEA(1) => wea(7),
1764
      WEA(0) => wea(7),
1765
      WEBWE(7) => N1,
1766
      WEBWE(6) => N1,
1767
      WEBWE(5) => N1,
1768
      WEBWE(4) => N1,
1769
      WEBWE(3) => web(7),
1770
      WEBWE(2) => web(7),
1771
      WEBWE(1) => web(7),
1772
      WEBWE(0) => web(7)
1773
    );
1774
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
1775
    generic map(
1776
      DOA_REG => 0,
1777
      DOB_REG => 0,
1778
      EN_ECC_READ => FALSE,
1779
      EN_ECC_WRITE => FALSE,
1780
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
1781
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
1782
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
1783
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
1784
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
1785
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
1786
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
1787
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
1788
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
1789
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
1790
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
1791
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
1792
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
1793
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
1794
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
1795
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
1796
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
1797
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
1798
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
1799
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
1800
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
1801
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
1802
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
1803
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
1804
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
1805
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
1806
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
1807
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
1808
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
1809
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
1810
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
1811
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
1812
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
1813
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
1814
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
1815
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
1816
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
1817
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
1818
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
1819
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
1820
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
1821
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
1822
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
1823
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
1824
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
1825
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
1826
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
1827
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
1828
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
1829
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
1830
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
1831
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
1832
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
1833
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
1834
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
1835
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
1836
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
1837
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
1838
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
1839
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
1840
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
1841
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
1842
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
1843
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
1844
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
1845
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
1846
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
1847
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
1848
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
1849
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
1850
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
1851
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
1852
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
1853
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
1854
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
1855
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
1856
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
1857
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
1858
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
1859
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
1860
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
1861
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
1862
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
1863
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
1864
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
1865
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
1866
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
1867
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
1868
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
1869
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
1870
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
1871
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
1872
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
1873
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
1874
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
1875
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
1876
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
1877
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
1878
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
1879
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
1880
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
1881
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
1882
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
1883
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
1884
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
1885
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
1886
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
1887
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
1888
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
1889
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
1890
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
1891
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
1892
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
1893
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
1894
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
1895
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
1896
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
1897
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
1898
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
1899
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
1900
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
1901
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
1902
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
1903
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
1904
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
1905
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
1906
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
1907
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
1908
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
1909
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
1910
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
1911
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
1912
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
1913
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
1914
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
1915
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
1916
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
1917
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
1918
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
1919
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
1920
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
1921
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
1922
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
1923
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
1924
      INIT_A => X"000000000",
1925
      INIT_B => X"000000000",
1926
      INIT_FILE => "NONE",
1927
      RAM_EXTENSION_A => "NONE",
1928
      RAM_EXTENSION_B => "NONE",
1929
      RAM_MODE => "TDP",
1930
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
1931
      READ_WIDTH_A => 9,
1932
      READ_WIDTH_B => 9,
1933
      RSTREG_PRIORITY_A => "REGCE",
1934
      RSTREG_PRIORITY_B => "REGCE",
1935
      SIM_COLLISION_CHECK => "ALL",
1936
      SIM_DEVICE => "VIRTEX6",
1937
      SRVAL_A => X"000000000",
1938
      SRVAL_B => X"000000000",
1939
      WRITE_MODE_A => "WRITE_FIRST",
1940
      WRITE_MODE_B => "WRITE_FIRST",
1941
      WRITE_WIDTH_A => 9,
1942
      WRITE_WIDTH_B => 9
1943
    )
1944
    port map (
1945
      CASCADEINA => N1,
1946
      CASCADEINB => N1,
1947
      CASCADEOUTA =>
1948
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
1949
      CASCADEOUTB =>
1950
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
1951
      CLKARDCLK => clka,
1952
      CLKBWRCLK => clkb,
1953
      DBITERR =>
1954
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
1955
      ENARDEN => N0,
1956
      ENBWREN => N0,
1957
      INJECTDBITERR => N1,
1958
      INJECTSBITERR => N1,
1959
      REGCEAREGCE => N1,
1960
      REGCEB => N1,
1961
      RSTRAMARSTRAM => N1,
1962
      RSTRAMB => N1,
1963
      RSTREGARSTREG => N1,
1964
      RSTREGB => N1,
1965
      SBITERR =>
1966
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
1967
      ADDRARDADDR(15) => N0,
1968
      ADDRARDADDR(14) => addra(11),
1969
      ADDRARDADDR(13) => addra(10),
1970
      ADDRARDADDR(12) => addra(9),
1971
      ADDRARDADDR(11) => addra(8),
1972
      ADDRARDADDR(10) => addra(7),
1973
      ADDRARDADDR(9) => addra(6),
1974
      ADDRARDADDR(8) => addra(5),
1975
      ADDRARDADDR(7) => addra(4),
1976
      ADDRARDADDR(6) => addra(3),
1977
      ADDRARDADDR(5) => addra(2),
1978
      ADDRARDADDR(4) => addra(1),
1979
      ADDRARDADDR(3) => addra(0),
1980
      ADDRARDADDR(2) => N1,
1981
      ADDRARDADDR(1) => N1,
1982
      ADDRARDADDR(0) => N1,
1983
      ADDRBWRADDR(15) => N0,
1984
      ADDRBWRADDR(14) => addrb(11),
1985
      ADDRBWRADDR(13) => addrb(10),
1986
      ADDRBWRADDR(12) => addrb(9),
1987
      ADDRBWRADDR(11) => addrb(8),
1988
      ADDRBWRADDR(10) => addrb(7),
1989
      ADDRBWRADDR(9) => addrb(6),
1990
      ADDRBWRADDR(8) => addrb(5),
1991
      ADDRBWRADDR(7) => addrb(4),
1992
      ADDRBWRADDR(6) => addrb(3),
1993
      ADDRBWRADDR(5) => addrb(2),
1994
      ADDRBWRADDR(4) => addrb(1),
1995
      ADDRBWRADDR(3) => addrb(0),
1996
      ADDRBWRADDR(2) => N1,
1997
      ADDRBWRADDR(1) => N1,
1998
      ADDRBWRADDR(0) => N1,
1999
      DIADI(31) => N1,
2000
      DIADI(30) => N1,
2001
      DIADI(29) => N1,
2002
      DIADI(28) => N1,
2003
      DIADI(27) => N1,
2004
      DIADI(26) => N1,
2005
      DIADI(25) => N1,
2006
      DIADI(24) => N1,
2007
      DIADI(23) => N1,
2008
      DIADI(22) => N1,
2009
      DIADI(21) => N1,
2010
      DIADI(20) => N1,
2011
      DIADI(19) => N1,
2012
      DIADI(18) => N1,
2013
      DIADI(17) => N1,
2014
      DIADI(16) => N1,
2015
      DIADI(15) => N1,
2016
      DIADI(14) => N1,
2017
      DIADI(13) => N1,
2018
      DIADI(12) => N1,
2019
      DIADI(11) => N1,
2020
      DIADI(10) => N1,
2021
      DIADI(9) => N1,
2022
      DIADI(8) => N1,
2023
      DIADI(7) => dina(55),
2024
      DIADI(6) => dina(54),
2025
      DIADI(5) => dina(53),
2026
      DIADI(4) => dina(52),
2027
      DIADI(3) => dina(51),
2028
      DIADI(2) => dina(50),
2029
      DIADI(1) => dina(49),
2030
      DIADI(0) => dina(48),
2031
      DIBDI(31) => N1,
2032
      DIBDI(30) => N1,
2033
      DIBDI(29) => N1,
2034
      DIBDI(28) => N1,
2035
      DIBDI(27) => N1,
2036
      DIBDI(26) => N1,
2037
      DIBDI(25) => N1,
2038
      DIBDI(24) => N1,
2039
      DIBDI(23) => N1,
2040
      DIBDI(22) => N1,
2041
      DIBDI(21) => N1,
2042
      DIBDI(20) => N1,
2043
      DIBDI(19) => N1,
2044
      DIBDI(18) => N1,
2045
      DIBDI(17) => N1,
2046
      DIBDI(16) => N1,
2047
      DIBDI(15) => N1,
2048
      DIBDI(14) => N1,
2049
      DIBDI(13) => N1,
2050
      DIBDI(12) => N1,
2051
      DIBDI(11) => N1,
2052
      DIBDI(10) => N1,
2053
      DIBDI(9) => N1,
2054
      DIBDI(8) => N1,
2055
      DIBDI(7) => dinb(55),
2056
      DIBDI(6) => dinb(54),
2057
      DIBDI(5) => dinb(53),
2058
      DIBDI(4) => dinb(52),
2059
      DIBDI(3) => dinb(51),
2060
      DIBDI(2) => dinb(50),
2061
      DIBDI(1) => dinb(49),
2062
      DIBDI(0) => dinb(48),
2063
      DIPADIP(3) => N1,
2064
      DIPADIP(2) => N1,
2065
      DIPADIP(1) => N1,
2066
      DIPADIP(0) => N1,
2067
      DIPBDIP(3) => N1,
2068
      DIPBDIP(2) => N1,
2069
      DIPBDIP(1) => N1,
2070
      DIPBDIP(0) => N1,
2071
      DOADO(31) =>
2072
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
2073
      DOADO(30) =>
2074
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
2075
      DOADO(29) =>
2076
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
2077
      DOADO(28) =>
2078
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
2079
      DOADO(27) =>
2080
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
2081
      DOADO(26) =>
2082
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
2083
      DOADO(25) =>
2084
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
2085
      DOADO(24) =>
2086
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
2087
      DOADO(23) =>
2088
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
2089
      DOADO(22) =>
2090
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
2091
      DOADO(21) =>
2092
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
2093
      DOADO(20) =>
2094
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
2095
      DOADO(19) =>
2096
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
2097
      DOADO(18) =>
2098
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
2099
      DOADO(17) =>
2100
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
2101
      DOADO(16) =>
2102
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
2103
      DOADO(15) =>
2104
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
2105
      DOADO(14) =>
2106
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
2107
      DOADO(13) =>
2108
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
2109
      DOADO(12) =>
2110
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
2111
      DOADO(11) =>
2112
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
2113
      DOADO(10) =>
2114
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
2115
      DOADO(9) =>
2116
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
2117
      DOADO(8) =>
2118
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
2119
      DOADO(7) => douta(55),
2120
      DOADO(6) => douta(54),
2121
      DOADO(5) => douta(53),
2122
      DOADO(4) => douta(52),
2123
      DOADO(3) => douta(51),
2124
      DOADO(2) => douta(50),
2125
      DOADO(1) => douta(49),
2126
      DOADO(0) => douta(48),
2127
      DOBDO(31) =>
2128
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
2129
      DOBDO(30) =>
2130
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
2131
      DOBDO(29) =>
2132
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
2133
      DOBDO(28) =>
2134
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
2135
      DOBDO(27) =>
2136
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
2137
      DOBDO(26) =>
2138
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
2139
      DOBDO(25) =>
2140
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
2141
      DOBDO(24) =>
2142
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
2143
      DOBDO(23) =>
2144
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
2145
      DOBDO(22) =>
2146
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
2147
      DOBDO(21) =>
2148
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
2149
      DOBDO(20) =>
2150
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
2151
      DOBDO(19) =>
2152
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
2153
      DOBDO(18) =>
2154
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
2155
      DOBDO(17) =>
2156
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
2157
      DOBDO(16) =>
2158
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
2159
      DOBDO(15) =>
2160
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
2161
      DOBDO(14) =>
2162
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
2163
      DOBDO(13) =>
2164
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
2165
      DOBDO(12) =>
2166
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
2167
      DOBDO(11) =>
2168
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
2169
      DOBDO(10) =>
2170
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
2171
      DOBDO(9) =>
2172
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
2173
      DOBDO(8) =>
2174
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
2175
      DOBDO(7) => doutb(55),
2176
      DOBDO(6) => doutb(54),
2177
      DOBDO(5) => doutb(53),
2178
      DOBDO(4) => doutb(52),
2179
      DOBDO(3) => doutb(51),
2180
      DOBDO(2) => doutb(50),
2181
      DOBDO(1) => doutb(49),
2182
      DOBDO(0) => doutb(48),
2183
      DOPADOP(3) =>
2184
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
2185
      DOPADOP(2) =>
2186
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
2187
      DOPADOP(1) =>
2188
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
2189
      DOPADOP(0) =>
2190
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
2191
      DOPBDOP(3) =>
2192
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
2193
      DOPBDOP(2) =>
2194
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
2195
      DOPBDOP(1) =>
2196
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
2197
      DOPBDOP(0) =>
2198
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
2199
      ECCPARITY(7) =>
2200
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
2201
      ECCPARITY(6) =>
2202
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
2203
      ECCPARITY(5) =>
2204
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
2205
      ECCPARITY(4) =>
2206
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
2207
      ECCPARITY(3) =>
2208
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
2209
      ECCPARITY(2) =>
2210
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
2211
      ECCPARITY(1) =>
2212
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
2213
      ECCPARITY(0) =>
2214
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
2215
      RDADDRECC(8) =>
2216
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
2217
      RDADDRECC(7) =>
2218
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
2219
      RDADDRECC(6) =>
2220
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
2221
      RDADDRECC(5) =>
2222
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
2223
      RDADDRECC(4) =>
2224
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
2225
      RDADDRECC(3) =>
2226
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
2227
      RDADDRECC(2) =>
2228
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
2229
      RDADDRECC(1) =>
2230
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
2231
      RDADDRECC(0) =>
2232
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_6_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
2233
      WEA(3) => wea(6),
2234
      WEA(2) => wea(6),
2235
      WEA(1) => wea(6),
2236
      WEA(0) => wea(6),
2237
      WEBWE(7) => N1,
2238
      WEBWE(6) => N1,
2239
      WEBWE(5) => N1,
2240
      WEBWE(4) => N1,
2241
      WEBWE(3) => web(6),
2242
      WEBWE(2) => web(6),
2243
      WEBWE(1) => web(6),
2244
      WEBWE(0) => web(6)
2245
    );
2246
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
2247
    generic map(
2248
      DOA_REG => 0,
2249
      DOB_REG => 0,
2250
      EN_ECC_READ => FALSE,
2251
      EN_ECC_WRITE => FALSE,
2252
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
2253
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
2254
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
2255
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
2256
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
2257
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
2258
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
2259
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
2260
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
2261
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
2262
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
2263
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
2264
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
2265
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
2266
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
2267
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
2268
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
2269
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
2270
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
2271
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
2272
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
2273
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
2274
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
2275
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
2276
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
2277
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
2278
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
2279
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
2280
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
2281
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
2282
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
2283
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
2284
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
2285
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
2286
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
2287
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
2288
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
2289
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
2290
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
2291
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
2292
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
2293
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
2294
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
2295
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
2296
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
2297
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
2298
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
2299
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
2300
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
2301
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
2302
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
2303
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
2304
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
2305
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
2306
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
2307
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
2308
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
2309
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
2310
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
2311
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
2312
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
2313
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
2314
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
2315
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
2316
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
2317
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
2318
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
2319
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
2320
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
2321
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
2322
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
2323
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
2324
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
2325
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
2326
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
2327
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
2328
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
2329
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
2330
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
2331
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
2332
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
2333
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
2334
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
2335
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
2336
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
2337
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
2338
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
2339
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
2340
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
2341
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
2342
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
2343
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
2344
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
2345
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
2346
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
2347
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
2348
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
2349
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
2350
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
2351
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
2352
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
2353
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
2354
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
2355
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
2356
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
2357
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
2358
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
2359
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
2360
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
2361
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
2362
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
2363
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
2364
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
2365
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
2366
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
2367
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
2368
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
2369
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
2370
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
2371
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
2372
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
2373
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
2374
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
2375
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
2376
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
2377
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
2378
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
2379
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
2380
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
2381
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
2382
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
2383
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
2384
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
2385
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
2386
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
2387
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
2388
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
2389
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
2390
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
2391
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
2392
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
2393
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
2394
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
2395
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
2396
      INIT_A => X"000000000",
2397
      INIT_B => X"000000000",
2398
      INIT_FILE => "NONE",
2399
      RAM_EXTENSION_A => "NONE",
2400
      RAM_EXTENSION_B => "NONE",
2401
      RAM_MODE => "TDP",
2402
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
2403
      READ_WIDTH_A => 9,
2404
      READ_WIDTH_B => 9,
2405
      RSTREG_PRIORITY_A => "REGCE",
2406
      RSTREG_PRIORITY_B => "REGCE",
2407
      SIM_COLLISION_CHECK => "ALL",
2408
      SIM_DEVICE => "VIRTEX6",
2409
      SRVAL_A => X"000000000",
2410
      SRVAL_B => X"000000000",
2411
      WRITE_MODE_A => "WRITE_FIRST",
2412
      WRITE_MODE_B => "WRITE_FIRST",
2413
      WRITE_WIDTH_A => 9,
2414
      WRITE_WIDTH_B => 9
2415
    )
2416
    port map (
2417
      CASCADEINA => N1,
2418
      CASCADEINB => N1,
2419
      CASCADEOUTA =>
2420
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
2421
      CASCADEOUTB =>
2422
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
2423
      CLKARDCLK => clka,
2424
      CLKBWRCLK => clkb,
2425
      DBITERR =>
2426
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
2427
      ENARDEN => N0,
2428
      ENBWREN => N0,
2429
      INJECTDBITERR => N1,
2430
      INJECTSBITERR => N1,
2431
      REGCEAREGCE => N1,
2432
      REGCEB => N1,
2433
      RSTRAMARSTRAM => N1,
2434
      RSTRAMB => N1,
2435
      RSTREGARSTREG => N1,
2436
      RSTREGB => N1,
2437
      SBITERR =>
2438
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
2439
      ADDRARDADDR(15) => N0,
2440
      ADDRARDADDR(14) => addra(11),
2441
      ADDRARDADDR(13) => addra(10),
2442
      ADDRARDADDR(12) => addra(9),
2443
      ADDRARDADDR(11) => addra(8),
2444
      ADDRARDADDR(10) => addra(7),
2445
      ADDRARDADDR(9) => addra(6),
2446
      ADDRARDADDR(8) => addra(5),
2447
      ADDRARDADDR(7) => addra(4),
2448
      ADDRARDADDR(6) => addra(3),
2449
      ADDRARDADDR(5) => addra(2),
2450
      ADDRARDADDR(4) => addra(1),
2451
      ADDRARDADDR(3) => addra(0),
2452
      ADDRARDADDR(2) => N1,
2453
      ADDRARDADDR(1) => N1,
2454
      ADDRARDADDR(0) => N1,
2455
      ADDRBWRADDR(15) => N0,
2456
      ADDRBWRADDR(14) => addrb(11),
2457
      ADDRBWRADDR(13) => addrb(10),
2458
      ADDRBWRADDR(12) => addrb(9),
2459
      ADDRBWRADDR(11) => addrb(8),
2460
      ADDRBWRADDR(10) => addrb(7),
2461
      ADDRBWRADDR(9) => addrb(6),
2462
      ADDRBWRADDR(8) => addrb(5),
2463
      ADDRBWRADDR(7) => addrb(4),
2464
      ADDRBWRADDR(6) => addrb(3),
2465
      ADDRBWRADDR(5) => addrb(2),
2466
      ADDRBWRADDR(4) => addrb(1),
2467
      ADDRBWRADDR(3) => addrb(0),
2468
      ADDRBWRADDR(2) => N1,
2469
      ADDRBWRADDR(1) => N1,
2470
      ADDRBWRADDR(0) => N1,
2471
      DIADI(31) => N1,
2472
      DIADI(30) => N1,
2473
      DIADI(29) => N1,
2474
      DIADI(28) => N1,
2475
      DIADI(27) => N1,
2476
      DIADI(26) => N1,
2477
      DIADI(25) => N1,
2478
      DIADI(24) => N1,
2479
      DIADI(23) => N1,
2480
      DIADI(22) => N1,
2481
      DIADI(21) => N1,
2482
      DIADI(20) => N1,
2483
      DIADI(19) => N1,
2484
      DIADI(18) => N1,
2485
      DIADI(17) => N1,
2486
      DIADI(16) => N1,
2487
      DIADI(15) => N1,
2488
      DIADI(14) => N1,
2489
      DIADI(13) => N1,
2490
      DIADI(12) => N1,
2491
      DIADI(11) => N1,
2492
      DIADI(10) => N1,
2493
      DIADI(9) => N1,
2494
      DIADI(8) => N1,
2495
      DIADI(7) => dina(47),
2496
      DIADI(6) => dina(46),
2497
      DIADI(5) => dina(45),
2498
      DIADI(4) => dina(44),
2499
      DIADI(3) => dina(43),
2500
      DIADI(2) => dina(42),
2501
      DIADI(1) => dina(41),
2502
      DIADI(0) => dina(40),
2503
      DIBDI(31) => N1,
2504
      DIBDI(30) => N1,
2505
      DIBDI(29) => N1,
2506
      DIBDI(28) => N1,
2507
      DIBDI(27) => N1,
2508
      DIBDI(26) => N1,
2509
      DIBDI(25) => N1,
2510
      DIBDI(24) => N1,
2511
      DIBDI(23) => N1,
2512
      DIBDI(22) => N1,
2513
      DIBDI(21) => N1,
2514
      DIBDI(20) => N1,
2515
      DIBDI(19) => N1,
2516
      DIBDI(18) => N1,
2517
      DIBDI(17) => N1,
2518
      DIBDI(16) => N1,
2519
      DIBDI(15) => N1,
2520
      DIBDI(14) => N1,
2521
      DIBDI(13) => N1,
2522
      DIBDI(12) => N1,
2523
      DIBDI(11) => N1,
2524
      DIBDI(10) => N1,
2525
      DIBDI(9) => N1,
2526
      DIBDI(8) => N1,
2527
      DIBDI(7) => dinb(47),
2528
      DIBDI(6) => dinb(46),
2529
      DIBDI(5) => dinb(45),
2530
      DIBDI(4) => dinb(44),
2531
      DIBDI(3) => dinb(43),
2532
      DIBDI(2) => dinb(42),
2533
      DIBDI(1) => dinb(41),
2534
      DIBDI(0) => dinb(40),
2535
      DIPADIP(3) => N1,
2536
      DIPADIP(2) => N1,
2537
      DIPADIP(1) => N1,
2538
      DIPADIP(0) => N1,
2539
      DIPBDIP(3) => N1,
2540
      DIPBDIP(2) => N1,
2541
      DIPBDIP(1) => N1,
2542
      DIPBDIP(0) => N1,
2543
      DOADO(31) =>
2544
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
2545
      DOADO(30) =>
2546
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
2547
      DOADO(29) =>
2548
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
2549
      DOADO(28) =>
2550
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
2551
      DOADO(27) =>
2552
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
2553
      DOADO(26) =>
2554
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
2555
      DOADO(25) =>
2556
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
2557
      DOADO(24) =>
2558
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
2559
      DOADO(23) =>
2560
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
2561
      DOADO(22) =>
2562
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
2563
      DOADO(21) =>
2564
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
2565
      DOADO(20) =>
2566
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
2567
      DOADO(19) =>
2568
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
2569
      DOADO(18) =>
2570
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
2571
      DOADO(17) =>
2572
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
2573
      DOADO(16) =>
2574
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
2575
      DOADO(15) =>
2576
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
2577
      DOADO(14) =>
2578
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
2579
      DOADO(13) =>
2580
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
2581
      DOADO(12) =>
2582
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
2583
      DOADO(11) =>
2584
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
2585
      DOADO(10) =>
2586
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
2587
      DOADO(9) =>
2588
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
2589
      DOADO(8) =>
2590
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
2591
      DOADO(7) => douta(47),
2592
      DOADO(6) => douta(46),
2593
      DOADO(5) => douta(45),
2594
      DOADO(4) => douta(44),
2595
      DOADO(3) => douta(43),
2596
      DOADO(2) => douta(42),
2597
      DOADO(1) => douta(41),
2598
      DOADO(0) => douta(40),
2599
      DOBDO(31) =>
2600
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
2601
      DOBDO(30) =>
2602
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
2603
      DOBDO(29) =>
2604
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
2605
      DOBDO(28) =>
2606
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
2607
      DOBDO(27) =>
2608
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
2609
      DOBDO(26) =>
2610
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
2611
      DOBDO(25) =>
2612
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
2613
      DOBDO(24) =>
2614
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
2615
      DOBDO(23) =>
2616
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
2617
      DOBDO(22) =>
2618
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
2619
      DOBDO(21) =>
2620
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
2621
      DOBDO(20) =>
2622
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
2623
      DOBDO(19) =>
2624
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
2625
      DOBDO(18) =>
2626
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
2627
      DOBDO(17) =>
2628
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
2629
      DOBDO(16) =>
2630
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
2631
      DOBDO(15) =>
2632
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
2633
      DOBDO(14) =>
2634
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
2635
      DOBDO(13) =>
2636
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
2637
      DOBDO(12) =>
2638
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
2639
      DOBDO(11) =>
2640
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
2641
      DOBDO(10) =>
2642
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
2643
      DOBDO(9) =>
2644
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
2645
      DOBDO(8) =>
2646
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
2647
      DOBDO(7) => doutb(47),
2648
      DOBDO(6) => doutb(46),
2649
      DOBDO(5) => doutb(45),
2650
      DOBDO(4) => doutb(44),
2651
      DOBDO(3) => doutb(43),
2652
      DOBDO(2) => doutb(42),
2653
      DOBDO(1) => doutb(41),
2654
      DOBDO(0) => doutb(40),
2655
      DOPADOP(3) =>
2656
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
2657
      DOPADOP(2) =>
2658
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
2659
      DOPADOP(1) =>
2660
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
2661
      DOPADOP(0) =>
2662
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
2663
      DOPBDOP(3) =>
2664
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
2665
      DOPBDOP(2) =>
2666
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
2667
      DOPBDOP(1) =>
2668
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
2669
      DOPBDOP(0) =>
2670
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
2671
      ECCPARITY(7) =>
2672
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
2673
      ECCPARITY(6) =>
2674
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
2675
      ECCPARITY(5) =>
2676
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
2677
      ECCPARITY(4) =>
2678
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
2679
      ECCPARITY(3) =>
2680
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
2681
      ECCPARITY(2) =>
2682
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
2683
      ECCPARITY(1) =>
2684
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
2685
      ECCPARITY(0) =>
2686
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
2687
      RDADDRECC(8) =>
2688
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
2689
      RDADDRECC(7) =>
2690
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
2691
      RDADDRECC(6) =>
2692
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
2693
      RDADDRECC(5) =>
2694
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
2695
      RDADDRECC(4) =>
2696
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
2697
      RDADDRECC(3) =>
2698
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
2699
      RDADDRECC(2) =>
2700
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
2701
      RDADDRECC(1) =>
2702
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
2703
      RDADDRECC(0) =>
2704
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_5_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
2705
      WEA(3) => wea(5),
2706
      WEA(2) => wea(5),
2707
      WEA(1) => wea(5),
2708
      WEA(0) => wea(5),
2709
      WEBWE(7) => N1,
2710
      WEBWE(6) => N1,
2711
      WEBWE(5) => N1,
2712
      WEBWE(4) => N1,
2713
      WEBWE(3) => web(5),
2714
      WEBWE(2) => web(5),
2715
      WEBWE(1) => web(5),
2716
      WEBWE(0) => web(5)
2717
    );
2718
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
2719
    generic map(
2720
      DOA_REG => 0,
2721
      DOB_REG => 0,
2722
      EN_ECC_READ => FALSE,
2723
      EN_ECC_WRITE => FALSE,
2724
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
2725
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
2726
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
2727
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
2728
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
2729
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
2730
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
2731
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
2732
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
2733
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
2734
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
2735
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
2736
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
2737
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
2738
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
2739
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
2740
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
2741
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
2742
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
2743
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
2744
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
2745
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
2746
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
2747
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
2748
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
2749
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
2750
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
2751
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
2752
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
2753
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
2754
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
2755
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
2756
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
2757
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
2758
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
2759
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
2760
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
2761
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
2762
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
2763
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
2764
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
2765
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
2766
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
2767
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
2768
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
2769
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
2770
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
2771
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
2772
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
2773
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
2774
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
2775
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
2776
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
2777
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
2778
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
2779
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
2780
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
2781
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
2782
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
2783
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
2784
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
2785
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
2786
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
2787
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
2788
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
2789
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
2790
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
2791
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
2792
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
2793
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
2794
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
2795
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
2796
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
2797
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
2798
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
2799
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
2800
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
2801
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
2802
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
2803
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
2804
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
2805
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
2806
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
2807
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
2808
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
2809
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
2810
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
2811
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
2812
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
2813
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
2814
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
2815
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
2816
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
2817
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
2818
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
2819
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
2820
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
2821
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
2822
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
2823
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
2824
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
2825
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
2826
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
2827
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
2828
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
2829
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
2830
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
2831
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
2832
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
2833
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
2834
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
2835
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
2836
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
2837
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
2838
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
2839
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
2840
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
2841
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
2842
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
2843
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
2844
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
2845
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
2846
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
2847
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
2848
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
2849
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
2850
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
2851
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
2852
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
2853
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
2854
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
2855
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
2856
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
2857
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
2858
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
2859
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
2860
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
2861
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
2862
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
2863
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
2864
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
2865
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
2866
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
2867
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
2868
      INIT_A => X"000000000",
2869
      INIT_B => X"000000000",
2870
      INIT_FILE => "NONE",
2871
      RAM_EXTENSION_A => "NONE",
2872
      RAM_EXTENSION_B => "NONE",
2873
      RAM_MODE => "TDP",
2874
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
2875
      READ_WIDTH_A => 9,
2876
      READ_WIDTH_B => 9,
2877
      RSTREG_PRIORITY_A => "REGCE",
2878
      RSTREG_PRIORITY_B => "REGCE",
2879
      SIM_COLLISION_CHECK => "ALL",
2880
      SIM_DEVICE => "VIRTEX6",
2881
      SRVAL_A => X"000000000",
2882
      SRVAL_B => X"000000000",
2883
      WRITE_MODE_A => "WRITE_FIRST",
2884
      WRITE_MODE_B => "WRITE_FIRST",
2885
      WRITE_WIDTH_A => 9,
2886
      WRITE_WIDTH_B => 9
2887
    )
2888
    port map (
2889
      CASCADEINA => N1,
2890
      CASCADEINB => N1,
2891
      CASCADEOUTA =>
2892
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
2893
      CASCADEOUTB =>
2894
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
2895
      CLKARDCLK => clka,
2896
      CLKBWRCLK => clkb,
2897
      DBITERR =>
2898
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
2899
      ENARDEN => N0,
2900
      ENBWREN => N0,
2901
      INJECTDBITERR => N1,
2902
      INJECTSBITERR => N1,
2903
      REGCEAREGCE => N1,
2904
      REGCEB => N1,
2905
      RSTRAMARSTRAM => N1,
2906
      RSTRAMB => N1,
2907
      RSTREGARSTREG => N1,
2908
      RSTREGB => N1,
2909
      SBITERR =>
2910
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
2911
      ADDRARDADDR(15) => N0,
2912
      ADDRARDADDR(14) => addra(11),
2913
      ADDRARDADDR(13) => addra(10),
2914
      ADDRARDADDR(12) => addra(9),
2915
      ADDRARDADDR(11) => addra(8),
2916
      ADDRARDADDR(10) => addra(7),
2917
      ADDRARDADDR(9) => addra(6),
2918
      ADDRARDADDR(8) => addra(5),
2919
      ADDRARDADDR(7) => addra(4),
2920
      ADDRARDADDR(6) => addra(3),
2921
      ADDRARDADDR(5) => addra(2),
2922
      ADDRARDADDR(4) => addra(1),
2923
      ADDRARDADDR(3) => addra(0),
2924
      ADDRARDADDR(2) => N1,
2925
      ADDRARDADDR(1) => N1,
2926
      ADDRARDADDR(0) => N1,
2927
      ADDRBWRADDR(15) => N0,
2928
      ADDRBWRADDR(14) => addrb(11),
2929
      ADDRBWRADDR(13) => addrb(10),
2930
      ADDRBWRADDR(12) => addrb(9),
2931
      ADDRBWRADDR(11) => addrb(8),
2932
      ADDRBWRADDR(10) => addrb(7),
2933
      ADDRBWRADDR(9) => addrb(6),
2934
      ADDRBWRADDR(8) => addrb(5),
2935
      ADDRBWRADDR(7) => addrb(4),
2936
      ADDRBWRADDR(6) => addrb(3),
2937
      ADDRBWRADDR(5) => addrb(2),
2938
      ADDRBWRADDR(4) => addrb(1),
2939
      ADDRBWRADDR(3) => addrb(0),
2940
      ADDRBWRADDR(2) => N1,
2941
      ADDRBWRADDR(1) => N1,
2942
      ADDRBWRADDR(0) => N1,
2943
      DIADI(31) => N1,
2944
      DIADI(30) => N1,
2945
      DIADI(29) => N1,
2946
      DIADI(28) => N1,
2947
      DIADI(27) => N1,
2948
      DIADI(26) => N1,
2949
      DIADI(25) => N1,
2950
      DIADI(24) => N1,
2951
      DIADI(23) => N1,
2952
      DIADI(22) => N1,
2953
      DIADI(21) => N1,
2954
      DIADI(20) => N1,
2955
      DIADI(19) => N1,
2956
      DIADI(18) => N1,
2957
      DIADI(17) => N1,
2958
      DIADI(16) => N1,
2959
      DIADI(15) => N1,
2960
      DIADI(14) => N1,
2961
      DIADI(13) => N1,
2962
      DIADI(12) => N1,
2963
      DIADI(11) => N1,
2964
      DIADI(10) => N1,
2965
      DIADI(9) => N1,
2966
      DIADI(8) => N1,
2967
      DIADI(7) => dina(39),
2968
      DIADI(6) => dina(38),
2969
      DIADI(5) => dina(37),
2970
      DIADI(4) => dina(36),
2971
      DIADI(3) => dina(35),
2972
      DIADI(2) => dina(34),
2973
      DIADI(1) => dina(33),
2974
      DIADI(0) => dina(32),
2975
      DIBDI(31) => N1,
2976
      DIBDI(30) => N1,
2977
      DIBDI(29) => N1,
2978
      DIBDI(28) => N1,
2979
      DIBDI(27) => N1,
2980
      DIBDI(26) => N1,
2981
      DIBDI(25) => N1,
2982
      DIBDI(24) => N1,
2983
      DIBDI(23) => N1,
2984
      DIBDI(22) => N1,
2985
      DIBDI(21) => N1,
2986
      DIBDI(20) => N1,
2987
      DIBDI(19) => N1,
2988
      DIBDI(18) => N1,
2989
      DIBDI(17) => N1,
2990
      DIBDI(16) => N1,
2991
      DIBDI(15) => N1,
2992
      DIBDI(14) => N1,
2993
      DIBDI(13) => N1,
2994
      DIBDI(12) => N1,
2995
      DIBDI(11) => N1,
2996
      DIBDI(10) => N1,
2997
      DIBDI(9) => N1,
2998
      DIBDI(8) => N1,
2999
      DIBDI(7) => dinb(39),
3000
      DIBDI(6) => dinb(38),
3001
      DIBDI(5) => dinb(37),
3002
      DIBDI(4) => dinb(36),
3003
      DIBDI(3) => dinb(35),
3004
      DIBDI(2) => dinb(34),
3005
      DIBDI(1) => dinb(33),
3006
      DIBDI(0) => dinb(32),
3007
      DIPADIP(3) => N1,
3008
      DIPADIP(2) => N1,
3009
      DIPADIP(1) => N1,
3010
      DIPADIP(0) => N1,
3011
      DIPBDIP(3) => N1,
3012
      DIPBDIP(2) => N1,
3013
      DIPBDIP(1) => N1,
3014
      DIPBDIP(0) => N1,
3015
      DOADO(31) =>
3016
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
3017
      DOADO(30) =>
3018
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
3019
      DOADO(29) =>
3020
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
3021
      DOADO(28) =>
3022
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
3023
      DOADO(27) =>
3024
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
3025
      DOADO(26) =>
3026
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
3027
      DOADO(25) =>
3028
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
3029
      DOADO(24) =>
3030
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
3031
      DOADO(23) =>
3032
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
3033
      DOADO(22) =>
3034
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
3035
      DOADO(21) =>
3036
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
3037
      DOADO(20) =>
3038
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
3039
      DOADO(19) =>
3040
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
3041
      DOADO(18) =>
3042
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
3043
      DOADO(17) =>
3044
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
3045
      DOADO(16) =>
3046
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
3047
      DOADO(15) =>
3048
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
3049
      DOADO(14) =>
3050
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
3051
      DOADO(13) =>
3052
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
3053
      DOADO(12) =>
3054
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
3055
      DOADO(11) =>
3056
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
3057
      DOADO(10) =>
3058
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
3059
      DOADO(9) =>
3060
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
3061
      DOADO(8) =>
3062
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
3063
      DOADO(7) => douta(39),
3064
      DOADO(6) => douta(38),
3065
      DOADO(5) => douta(37),
3066
      DOADO(4) => douta(36),
3067
      DOADO(3) => douta(35),
3068
      DOADO(2) => douta(34),
3069
      DOADO(1) => douta(33),
3070
      DOADO(0) => douta(32),
3071
      DOBDO(31) =>
3072
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
3073
      DOBDO(30) =>
3074
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
3075
      DOBDO(29) =>
3076
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
3077
      DOBDO(28) =>
3078
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
3079
      DOBDO(27) =>
3080
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
3081
      DOBDO(26) =>
3082
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
3083
      DOBDO(25) =>
3084
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
3085
      DOBDO(24) =>
3086
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
3087
      DOBDO(23) =>
3088
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
3089
      DOBDO(22) =>
3090
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
3091
      DOBDO(21) =>
3092
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
3093
      DOBDO(20) =>
3094
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
3095
      DOBDO(19) =>
3096
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
3097
      DOBDO(18) =>
3098
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
3099
      DOBDO(17) =>
3100
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
3101
      DOBDO(16) =>
3102
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
3103
      DOBDO(15) =>
3104
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
3105
      DOBDO(14) =>
3106
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
3107
      DOBDO(13) =>
3108
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
3109
      DOBDO(12) =>
3110
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
3111
      DOBDO(11) =>
3112
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
3113
      DOBDO(10) =>
3114
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
3115
      DOBDO(9) =>
3116
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
3117
      DOBDO(8) =>
3118
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
3119
      DOBDO(7) => doutb(39),
3120
      DOBDO(6) => doutb(38),
3121
      DOBDO(5) => doutb(37),
3122
      DOBDO(4) => doutb(36),
3123
      DOBDO(3) => doutb(35),
3124
      DOBDO(2) => doutb(34),
3125
      DOBDO(1) => doutb(33),
3126
      DOBDO(0) => doutb(32),
3127
      DOPADOP(3) =>
3128
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
3129
      DOPADOP(2) =>
3130
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
3131
      DOPADOP(1) =>
3132
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
3133
      DOPADOP(0) =>
3134
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
3135
      DOPBDOP(3) =>
3136
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
3137
      DOPBDOP(2) =>
3138
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
3139
      DOPBDOP(1) =>
3140
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
3141
      DOPBDOP(0) =>
3142
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
3143
      ECCPARITY(7) =>
3144
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
3145
      ECCPARITY(6) =>
3146
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
3147
      ECCPARITY(5) =>
3148
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
3149
      ECCPARITY(4) =>
3150
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
3151
      ECCPARITY(3) =>
3152
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
3153
      ECCPARITY(2) =>
3154
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
3155
      ECCPARITY(1) =>
3156
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
3157
      ECCPARITY(0) =>
3158
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
3159
      RDADDRECC(8) =>
3160
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
3161
      RDADDRECC(7) =>
3162
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
3163
      RDADDRECC(6) =>
3164
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
3165
      RDADDRECC(5) =>
3166
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
3167
      RDADDRECC(4) =>
3168
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
3169
      RDADDRECC(3) =>
3170
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
3171
      RDADDRECC(2) =>
3172
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
3173
      RDADDRECC(1) =>
3174
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
3175
      RDADDRECC(0) =>
3176
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_4_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
3177
      WEA(3) => wea(4),
3178
      WEA(2) => wea(4),
3179
      WEA(1) => wea(4),
3180
      WEA(0) => wea(4),
3181
      WEBWE(7) => N1,
3182
      WEBWE(6) => N1,
3183
      WEBWE(5) => N1,
3184
      WEBWE(4) => N1,
3185
      WEBWE(3) => web(4),
3186
      WEBWE(2) => web(4),
3187
      WEBWE(1) => web(4),
3188
      WEBWE(0) => web(4)
3189
    );
3190
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
3191
    generic map(
3192
      DOA_REG => 0,
3193
      DOB_REG => 0,
3194
      EN_ECC_READ => FALSE,
3195
      EN_ECC_WRITE => FALSE,
3196
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
3197
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
3198
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
3199
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
3200
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
3201
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
3202
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
3203
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
3204
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
3205
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
3206
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
3207
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
3208
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
3209
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
3210
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
3211
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
3212
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
3213
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
3214
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
3215
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
3216
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
3217
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
3218
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
3219
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
3220
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
3221
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
3222
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
3223
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
3224
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
3225
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
3226
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
3227
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
3228
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
3229
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
3230
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
3231
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
3232
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
3233
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
3234
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
3235
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
3236
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
3237
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
3238
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
3239
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
3240
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
3241
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
3242
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
3243
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
3244
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
3245
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
3246
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
3247
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
3248
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
3249
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
3250
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
3251
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
3252
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
3253
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
3254
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
3255
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
3256
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
3257
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
3258
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
3259
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
3260
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
3261
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
3262
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
3263
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
3264
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
3265
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
3266
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
3267
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
3268
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
3269
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
3270
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
3271
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
3272
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
3273
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
3274
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
3275
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
3276
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
3277
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
3278
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
3279
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
3280
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
3281
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
3282
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
3283
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
3284
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
3285
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
3286
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
3287
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
3288
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
3289
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
3290
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
3291
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
3292
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
3293
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
3294
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
3295
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
3296
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
3297
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
3298
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
3299
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
3300
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
3301
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
3302
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
3303
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
3304
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
3305
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
3306
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
3307
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
3308
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
3309
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
3310
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
3311
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
3312
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
3313
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
3314
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
3315
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
3316
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
3317
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
3318
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
3319
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
3320
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
3321
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
3322
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
3323
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
3324
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
3325
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
3326
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
3327
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
3328
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
3329
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
3330
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
3331
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
3332
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
3333
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
3334
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
3335
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
3336
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
3337
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
3338
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
3339
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
3340
      INIT_A => X"000000000",
3341
      INIT_B => X"000000000",
3342
      INIT_FILE => "NONE",
3343
      RAM_EXTENSION_A => "NONE",
3344
      RAM_EXTENSION_B => "NONE",
3345
      RAM_MODE => "TDP",
3346
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
3347
      READ_WIDTH_A => 9,
3348
      READ_WIDTH_B => 9,
3349
      RSTREG_PRIORITY_A => "REGCE",
3350
      RSTREG_PRIORITY_B => "REGCE",
3351
      SIM_COLLISION_CHECK => "ALL",
3352
      SIM_DEVICE => "VIRTEX6",
3353
      SRVAL_A => X"000000000",
3354
      SRVAL_B => X"000000000",
3355
      WRITE_MODE_A => "WRITE_FIRST",
3356
      WRITE_MODE_B => "WRITE_FIRST",
3357
      WRITE_WIDTH_A => 9,
3358
      WRITE_WIDTH_B => 9
3359
    )
3360
    port map (
3361
      CASCADEINA => N1,
3362
      CASCADEINB => N1,
3363
      CASCADEOUTA =>
3364
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
3365
      CASCADEOUTB =>
3366
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
3367
      CLKARDCLK => clka,
3368
      CLKBWRCLK => clkb,
3369
      DBITERR =>
3370
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
3371
      ENARDEN => N0,
3372
      ENBWREN => N0,
3373
      INJECTDBITERR => N1,
3374
      INJECTSBITERR => N1,
3375
      REGCEAREGCE => N1,
3376
      REGCEB => N1,
3377
      RSTRAMARSTRAM => N1,
3378
      RSTRAMB => N1,
3379
      RSTREGARSTREG => N1,
3380
      RSTREGB => N1,
3381
      SBITERR =>
3382
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
3383
      ADDRARDADDR(15) => N0,
3384
      ADDRARDADDR(14) => addra(11),
3385
      ADDRARDADDR(13) => addra(10),
3386
      ADDRARDADDR(12) => addra(9),
3387
      ADDRARDADDR(11) => addra(8),
3388
      ADDRARDADDR(10) => addra(7),
3389
      ADDRARDADDR(9) => addra(6),
3390
      ADDRARDADDR(8) => addra(5),
3391
      ADDRARDADDR(7) => addra(4),
3392
      ADDRARDADDR(6) => addra(3),
3393
      ADDRARDADDR(5) => addra(2),
3394
      ADDRARDADDR(4) => addra(1),
3395
      ADDRARDADDR(3) => addra(0),
3396
      ADDRARDADDR(2) => N1,
3397
      ADDRARDADDR(1) => N1,
3398
      ADDRARDADDR(0) => N1,
3399
      ADDRBWRADDR(15) => N0,
3400
      ADDRBWRADDR(14) => addrb(11),
3401
      ADDRBWRADDR(13) => addrb(10),
3402
      ADDRBWRADDR(12) => addrb(9),
3403
      ADDRBWRADDR(11) => addrb(8),
3404
      ADDRBWRADDR(10) => addrb(7),
3405
      ADDRBWRADDR(9) => addrb(6),
3406
      ADDRBWRADDR(8) => addrb(5),
3407
      ADDRBWRADDR(7) => addrb(4),
3408
      ADDRBWRADDR(6) => addrb(3),
3409
      ADDRBWRADDR(5) => addrb(2),
3410
      ADDRBWRADDR(4) => addrb(1),
3411
      ADDRBWRADDR(3) => addrb(0),
3412
      ADDRBWRADDR(2) => N1,
3413
      ADDRBWRADDR(1) => N1,
3414
      ADDRBWRADDR(0) => N1,
3415
      DIADI(31) => N1,
3416
      DIADI(30) => N1,
3417
      DIADI(29) => N1,
3418
      DIADI(28) => N1,
3419
      DIADI(27) => N1,
3420
      DIADI(26) => N1,
3421
      DIADI(25) => N1,
3422
      DIADI(24) => N1,
3423
      DIADI(23) => N1,
3424
      DIADI(22) => N1,
3425
      DIADI(21) => N1,
3426
      DIADI(20) => N1,
3427
      DIADI(19) => N1,
3428
      DIADI(18) => N1,
3429
      DIADI(17) => N1,
3430
      DIADI(16) => N1,
3431
      DIADI(15) => N1,
3432
      DIADI(14) => N1,
3433
      DIADI(13) => N1,
3434
      DIADI(12) => N1,
3435
      DIADI(11) => N1,
3436
      DIADI(10) => N1,
3437
      DIADI(9) => N1,
3438
      DIADI(8) => N1,
3439
      DIADI(7) => dina(31),
3440
      DIADI(6) => dina(30),
3441
      DIADI(5) => dina(29),
3442
      DIADI(4) => dina(28),
3443
      DIADI(3) => dina(27),
3444
      DIADI(2) => dina(26),
3445
      DIADI(1) => dina(25),
3446
      DIADI(0) => dina(24),
3447
      DIBDI(31) => N1,
3448
      DIBDI(30) => N1,
3449
      DIBDI(29) => N1,
3450
      DIBDI(28) => N1,
3451
      DIBDI(27) => N1,
3452
      DIBDI(26) => N1,
3453
      DIBDI(25) => N1,
3454
      DIBDI(24) => N1,
3455
      DIBDI(23) => N1,
3456
      DIBDI(22) => N1,
3457
      DIBDI(21) => N1,
3458
      DIBDI(20) => N1,
3459
      DIBDI(19) => N1,
3460
      DIBDI(18) => N1,
3461
      DIBDI(17) => N1,
3462
      DIBDI(16) => N1,
3463
      DIBDI(15) => N1,
3464
      DIBDI(14) => N1,
3465
      DIBDI(13) => N1,
3466
      DIBDI(12) => N1,
3467
      DIBDI(11) => N1,
3468
      DIBDI(10) => N1,
3469
      DIBDI(9) => N1,
3470
      DIBDI(8) => N1,
3471
      DIBDI(7) => dinb(31),
3472
      DIBDI(6) => dinb(30),
3473
      DIBDI(5) => dinb(29),
3474
      DIBDI(4) => dinb(28),
3475
      DIBDI(3) => dinb(27),
3476
      DIBDI(2) => dinb(26),
3477
      DIBDI(1) => dinb(25),
3478
      DIBDI(0) => dinb(24),
3479
      DIPADIP(3) => N1,
3480
      DIPADIP(2) => N1,
3481
      DIPADIP(1) => N1,
3482
      DIPADIP(0) => N1,
3483
      DIPBDIP(3) => N1,
3484
      DIPBDIP(2) => N1,
3485
      DIPBDIP(1) => N1,
3486
      DIPBDIP(0) => N1,
3487
      DOADO(31) =>
3488
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
3489
      DOADO(30) =>
3490
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
3491
      DOADO(29) =>
3492
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
3493
      DOADO(28) =>
3494
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
3495
      DOADO(27) =>
3496
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
3497
      DOADO(26) =>
3498
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
3499
      DOADO(25) =>
3500
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
3501
      DOADO(24) =>
3502
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
3503
      DOADO(23) =>
3504
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
3505
      DOADO(22) =>
3506
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
3507
      DOADO(21) =>
3508
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
3509
      DOADO(20) =>
3510
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
3511
      DOADO(19) =>
3512
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
3513
      DOADO(18) =>
3514
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
3515
      DOADO(17) =>
3516
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
3517
      DOADO(16) =>
3518
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
3519
      DOADO(15) =>
3520
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
3521
      DOADO(14) =>
3522
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
3523
      DOADO(13) =>
3524
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
3525
      DOADO(12) =>
3526
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
3527
      DOADO(11) =>
3528
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
3529
      DOADO(10) =>
3530
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
3531
      DOADO(9) =>
3532
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
3533
      DOADO(8) =>
3534
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
3535
      DOADO(7) => douta(31),
3536
      DOADO(6) => douta(30),
3537
      DOADO(5) => douta(29),
3538
      DOADO(4) => douta(28),
3539
      DOADO(3) => douta(27),
3540
      DOADO(2) => douta(26),
3541
      DOADO(1) => douta(25),
3542
      DOADO(0) => douta(24),
3543
      DOBDO(31) =>
3544
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
3545
      DOBDO(30) =>
3546
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
3547
      DOBDO(29) =>
3548
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
3549
      DOBDO(28) =>
3550
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
3551
      DOBDO(27) =>
3552
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
3553
      DOBDO(26) =>
3554
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
3555
      DOBDO(25) =>
3556
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
3557
      DOBDO(24) =>
3558
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
3559
      DOBDO(23) =>
3560
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
3561
      DOBDO(22) =>
3562
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
3563
      DOBDO(21) =>
3564
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
3565
      DOBDO(20) =>
3566
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
3567
      DOBDO(19) =>
3568
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
3569
      DOBDO(18) =>
3570
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
3571
      DOBDO(17) =>
3572
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
3573
      DOBDO(16) =>
3574
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
3575
      DOBDO(15) =>
3576
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
3577
      DOBDO(14) =>
3578
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
3579
      DOBDO(13) =>
3580
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
3581
      DOBDO(12) =>
3582
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
3583
      DOBDO(11) =>
3584
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
3585
      DOBDO(10) =>
3586
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
3587
      DOBDO(9) =>
3588
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
3589
      DOBDO(8) =>
3590
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
3591
      DOBDO(7) => doutb(31),
3592
      DOBDO(6) => doutb(30),
3593
      DOBDO(5) => doutb(29),
3594
      DOBDO(4) => doutb(28),
3595
      DOBDO(3) => doutb(27),
3596
      DOBDO(2) => doutb(26),
3597
      DOBDO(1) => doutb(25),
3598
      DOBDO(0) => doutb(24),
3599
      DOPADOP(3) =>
3600
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
3601
      DOPADOP(2) =>
3602
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
3603
      DOPADOP(1) =>
3604
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
3605
      DOPADOP(0) =>
3606
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
3607
      DOPBDOP(3) =>
3608
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
3609
      DOPBDOP(2) =>
3610
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
3611
      DOPBDOP(1) =>
3612
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
3613
      DOPBDOP(0) =>
3614
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
3615
      ECCPARITY(7) =>
3616
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
3617
      ECCPARITY(6) =>
3618
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
3619
      ECCPARITY(5) =>
3620
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
3621
      ECCPARITY(4) =>
3622
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
3623
      ECCPARITY(3) =>
3624
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
3625
      ECCPARITY(2) =>
3626
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
3627
      ECCPARITY(1) =>
3628
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
3629
      ECCPARITY(0) =>
3630
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
3631
      RDADDRECC(8) =>
3632
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
3633
      RDADDRECC(7) =>
3634
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
3635
      RDADDRECC(6) =>
3636
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
3637
      RDADDRECC(5) =>
3638
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
3639
      RDADDRECC(4) =>
3640
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
3641
      RDADDRECC(3) =>
3642
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
3643
      RDADDRECC(2) =>
3644
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
3645
      RDADDRECC(1) =>
3646
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
3647
      RDADDRECC(0) =>
3648
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
3649
      WEA(3) => wea(3),
3650
      WEA(2) => wea(3),
3651
      WEA(1) => wea(3),
3652
      WEA(0) => wea(3),
3653
      WEBWE(7) => N1,
3654
      WEBWE(6) => N1,
3655
      WEBWE(5) => N1,
3656
      WEBWE(4) => N1,
3657
      WEBWE(3) => web(3),
3658
      WEBWE(2) => web(3),
3659
      WEBWE(1) => web(3),
3660
      WEBWE(0) => web(3)
3661
    );
3662
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
3663
    generic map(
3664
      DOA_REG => 0,
3665
      DOB_REG => 0,
3666
      EN_ECC_READ => FALSE,
3667
      EN_ECC_WRITE => FALSE,
3668
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
3669
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
3670
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
3671
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
3672
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
3673
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
3674
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
3675
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
3676
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
3677
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
3678
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
3679
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
3680
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
3681
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
3682
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
3683
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
3684
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
3685
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
3686
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
3687
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
3688
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
3689
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
3690
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
3691
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
3692
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
3693
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
3694
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
3695
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
3696
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
3697
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
3698
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
3699
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
3700
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
3701
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
3702
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
3703
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
3704
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
3705
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
3706
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
3707
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
3708
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
3709
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
3710
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
3711
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
3712
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
3713
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
3714
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
3715
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
3716
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
3717
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
3718
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
3719
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
3720
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
3721
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
3722
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
3723
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
3724
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
3725
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
3726
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
3727
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
3728
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
3729
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
3730
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
3731
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
3732
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
3733
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
3734
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
3735
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
3736
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
3737
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
3738
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
3739
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
3740
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
3741
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
3742
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
3743
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
3744
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
3745
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
3746
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
3747
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
3748
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
3749
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
3750
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
3751
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
3752
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
3753
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
3754
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
3755
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
3756
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
3757
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
3758
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
3759
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
3760
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
3761
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
3762
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
3763
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
3764
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
3765
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
3766
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
3767
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
3768
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
3769
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
3770
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
3771
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
3772
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
3773
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
3774
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
3775
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
3776
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
3777
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
3778
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
3779
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
3780
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
3781
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
3782
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
3783
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
3784
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
3785
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
3786
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
3787
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
3788
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
3789
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
3790
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
3791
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
3792
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
3793
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
3794
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
3795
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
3796
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
3797
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
3798
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
3799
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
3800
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
3801
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
3802
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
3803
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
3804
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
3805
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
3806
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
3807
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
3808
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
3809
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
3810
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
3811
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
3812
      INIT_A => X"000000000",
3813
      INIT_B => X"000000000",
3814
      INIT_FILE => "NONE",
3815
      RAM_EXTENSION_A => "NONE",
3816
      RAM_EXTENSION_B => "NONE",
3817
      RAM_MODE => "TDP",
3818
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
3819
      READ_WIDTH_A => 9,
3820
      READ_WIDTH_B => 9,
3821
      RSTREG_PRIORITY_A => "REGCE",
3822
      RSTREG_PRIORITY_B => "REGCE",
3823
      SIM_COLLISION_CHECK => "ALL",
3824
      SIM_DEVICE => "VIRTEX6",
3825
      SRVAL_A => X"000000000",
3826
      SRVAL_B => X"000000000",
3827
      WRITE_MODE_A => "WRITE_FIRST",
3828
      WRITE_MODE_B => "WRITE_FIRST",
3829
      WRITE_WIDTH_A => 9,
3830
      WRITE_WIDTH_B => 9
3831
    )
3832
    port map (
3833
      CASCADEINA => N1,
3834
      CASCADEINB => N1,
3835
      CASCADEOUTA =>
3836
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
3837
      CASCADEOUTB =>
3838
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
3839
      CLKARDCLK => clka,
3840
      CLKBWRCLK => clkb,
3841
      DBITERR =>
3842
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
3843
      ENARDEN => N0,
3844
      ENBWREN => N0,
3845
      INJECTDBITERR => N1,
3846
      INJECTSBITERR => N1,
3847
      REGCEAREGCE => N1,
3848
      REGCEB => N1,
3849
      RSTRAMARSTRAM => N1,
3850
      RSTRAMB => N1,
3851
      RSTREGARSTREG => N1,
3852
      RSTREGB => N1,
3853
      SBITERR =>
3854
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
3855
      ADDRARDADDR(15) => N0,
3856
      ADDRARDADDR(14) => addra(11),
3857
      ADDRARDADDR(13) => addra(10),
3858
      ADDRARDADDR(12) => addra(9),
3859
      ADDRARDADDR(11) => addra(8),
3860
      ADDRARDADDR(10) => addra(7),
3861
      ADDRARDADDR(9) => addra(6),
3862
      ADDRARDADDR(8) => addra(5),
3863
      ADDRARDADDR(7) => addra(4),
3864
      ADDRARDADDR(6) => addra(3),
3865
      ADDRARDADDR(5) => addra(2),
3866
      ADDRARDADDR(4) => addra(1),
3867
      ADDRARDADDR(3) => addra(0),
3868
      ADDRARDADDR(2) => N1,
3869
      ADDRARDADDR(1) => N1,
3870
      ADDRARDADDR(0) => N1,
3871
      ADDRBWRADDR(15) => N0,
3872
      ADDRBWRADDR(14) => addrb(11),
3873
      ADDRBWRADDR(13) => addrb(10),
3874
      ADDRBWRADDR(12) => addrb(9),
3875
      ADDRBWRADDR(11) => addrb(8),
3876
      ADDRBWRADDR(10) => addrb(7),
3877
      ADDRBWRADDR(9) => addrb(6),
3878
      ADDRBWRADDR(8) => addrb(5),
3879
      ADDRBWRADDR(7) => addrb(4),
3880
      ADDRBWRADDR(6) => addrb(3),
3881
      ADDRBWRADDR(5) => addrb(2),
3882
      ADDRBWRADDR(4) => addrb(1),
3883
      ADDRBWRADDR(3) => addrb(0),
3884
      ADDRBWRADDR(2) => N1,
3885
      ADDRBWRADDR(1) => N1,
3886
      ADDRBWRADDR(0) => N1,
3887
      DIADI(31) => N1,
3888
      DIADI(30) => N1,
3889
      DIADI(29) => N1,
3890
      DIADI(28) => N1,
3891
      DIADI(27) => N1,
3892
      DIADI(26) => N1,
3893
      DIADI(25) => N1,
3894
      DIADI(24) => N1,
3895
      DIADI(23) => N1,
3896
      DIADI(22) => N1,
3897
      DIADI(21) => N1,
3898
      DIADI(20) => N1,
3899
      DIADI(19) => N1,
3900
      DIADI(18) => N1,
3901
      DIADI(17) => N1,
3902
      DIADI(16) => N1,
3903
      DIADI(15) => N1,
3904
      DIADI(14) => N1,
3905
      DIADI(13) => N1,
3906
      DIADI(12) => N1,
3907
      DIADI(11) => N1,
3908
      DIADI(10) => N1,
3909
      DIADI(9) => N1,
3910
      DIADI(8) => N1,
3911
      DIADI(7) => dina(23),
3912
      DIADI(6) => dina(22),
3913
      DIADI(5) => dina(21),
3914
      DIADI(4) => dina(20),
3915
      DIADI(3) => dina(19),
3916
      DIADI(2) => dina(18),
3917
      DIADI(1) => dina(17),
3918
      DIADI(0) => dina(16),
3919
      DIBDI(31) => N1,
3920
      DIBDI(30) => N1,
3921
      DIBDI(29) => N1,
3922
      DIBDI(28) => N1,
3923
      DIBDI(27) => N1,
3924
      DIBDI(26) => N1,
3925
      DIBDI(25) => N1,
3926
      DIBDI(24) => N1,
3927
      DIBDI(23) => N1,
3928
      DIBDI(22) => N1,
3929
      DIBDI(21) => N1,
3930
      DIBDI(20) => N1,
3931
      DIBDI(19) => N1,
3932
      DIBDI(18) => N1,
3933
      DIBDI(17) => N1,
3934
      DIBDI(16) => N1,
3935
      DIBDI(15) => N1,
3936
      DIBDI(14) => N1,
3937
      DIBDI(13) => N1,
3938
      DIBDI(12) => N1,
3939
      DIBDI(11) => N1,
3940
      DIBDI(10) => N1,
3941
      DIBDI(9) => N1,
3942
      DIBDI(8) => N1,
3943
      DIBDI(7) => dinb(23),
3944
      DIBDI(6) => dinb(22),
3945
      DIBDI(5) => dinb(21),
3946
      DIBDI(4) => dinb(20),
3947
      DIBDI(3) => dinb(19),
3948
      DIBDI(2) => dinb(18),
3949
      DIBDI(1) => dinb(17),
3950
      DIBDI(0) => dinb(16),
3951
      DIPADIP(3) => N1,
3952
      DIPADIP(2) => N1,
3953
      DIPADIP(1) => N1,
3954
      DIPADIP(0) => N1,
3955
      DIPBDIP(3) => N1,
3956
      DIPBDIP(2) => N1,
3957
      DIPBDIP(1) => N1,
3958
      DIPBDIP(0) => N1,
3959
      DOADO(31) =>
3960
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
3961
      DOADO(30) =>
3962
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
3963
      DOADO(29) =>
3964
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
3965
      DOADO(28) =>
3966
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
3967
      DOADO(27) =>
3968
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
3969
      DOADO(26) =>
3970
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
3971
      DOADO(25) =>
3972
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
3973
      DOADO(24) =>
3974
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
3975
      DOADO(23) =>
3976
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
3977
      DOADO(22) =>
3978
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
3979
      DOADO(21) =>
3980
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
3981
      DOADO(20) =>
3982
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
3983
      DOADO(19) =>
3984
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
3985
      DOADO(18) =>
3986
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
3987
      DOADO(17) =>
3988
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
3989
      DOADO(16) =>
3990
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
3991
      DOADO(15) =>
3992
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
3993
      DOADO(14) =>
3994
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
3995
      DOADO(13) =>
3996
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
3997
      DOADO(12) =>
3998
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
3999
      DOADO(11) =>
4000
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
4001
      DOADO(10) =>
4002
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
4003
      DOADO(9) =>
4004
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
4005
      DOADO(8) =>
4006
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
4007
      DOADO(7) => douta(23),
4008
      DOADO(6) => douta(22),
4009
      DOADO(5) => douta(21),
4010
      DOADO(4) => douta(20),
4011
      DOADO(3) => douta(19),
4012
      DOADO(2) => douta(18),
4013
      DOADO(1) => douta(17),
4014
      DOADO(0) => douta(16),
4015
      DOBDO(31) =>
4016
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
4017
      DOBDO(30) =>
4018
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
4019
      DOBDO(29) =>
4020
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
4021
      DOBDO(28) =>
4022
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
4023
      DOBDO(27) =>
4024
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
4025
      DOBDO(26) =>
4026
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
4027
      DOBDO(25) =>
4028
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
4029
      DOBDO(24) =>
4030
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
4031
      DOBDO(23) =>
4032
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
4033
      DOBDO(22) =>
4034
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
4035
      DOBDO(21) =>
4036
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
4037
      DOBDO(20) =>
4038
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
4039
      DOBDO(19) =>
4040
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
4041
      DOBDO(18) =>
4042
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
4043
      DOBDO(17) =>
4044
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
4045
      DOBDO(16) =>
4046
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
4047
      DOBDO(15) =>
4048
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
4049
      DOBDO(14) =>
4050
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
4051
      DOBDO(13) =>
4052
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
4053
      DOBDO(12) =>
4054
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
4055
      DOBDO(11) =>
4056
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
4057
      DOBDO(10) =>
4058
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
4059
      DOBDO(9) =>
4060
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
4061
      DOBDO(8) =>
4062
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
4063
      DOBDO(7) => doutb(23),
4064
      DOBDO(6) => doutb(22),
4065
      DOBDO(5) => doutb(21),
4066
      DOBDO(4) => doutb(20),
4067
      DOBDO(3) => doutb(19),
4068
      DOBDO(2) => doutb(18),
4069
      DOBDO(1) => doutb(17),
4070
      DOBDO(0) => doutb(16),
4071
      DOPADOP(3) =>
4072
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
4073
      DOPADOP(2) =>
4074
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
4075
      DOPADOP(1) =>
4076
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
4077
      DOPADOP(0) =>
4078
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
4079
      DOPBDOP(3) =>
4080
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
4081
      DOPBDOP(2) =>
4082
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
4083
      DOPBDOP(1) =>
4084
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
4085
      DOPBDOP(0) =>
4086
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
4087
      ECCPARITY(7) =>
4088
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
4089
      ECCPARITY(6) =>
4090
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
4091
      ECCPARITY(5) =>
4092
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
4093
      ECCPARITY(4) =>
4094
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
4095
      ECCPARITY(3) =>
4096
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
4097
      ECCPARITY(2) =>
4098
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
4099
      ECCPARITY(1) =>
4100
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
4101
      ECCPARITY(0) =>
4102
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
4103
      RDADDRECC(8) =>
4104
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
4105
      RDADDRECC(7) =>
4106
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
4107
      RDADDRECC(6) =>
4108
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
4109
      RDADDRECC(5) =>
4110
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
4111
      RDADDRECC(4) =>
4112
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
4113
      RDADDRECC(3) =>
4114
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
4115
      RDADDRECC(2) =>
4116
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
4117
      RDADDRECC(1) =>
4118
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
4119
      RDADDRECC(0) =>
4120
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
4121
      WEA(3) => wea(2),
4122
      WEA(2) => wea(2),
4123
      WEA(1) => wea(2),
4124
      WEA(0) => wea(2),
4125
      WEBWE(7) => N1,
4126
      WEBWE(6) => N1,
4127
      WEBWE(5) => N1,
4128
      WEBWE(4) => N1,
4129
      WEBWE(3) => web(2),
4130
      WEBWE(2) => web(2),
4131
      WEBWE(1) => web(2),
4132
      WEBWE(0) => web(2)
4133
    );
4134
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
4135
    generic map(
4136
      DOA_REG => 0,
4137
      DOB_REG => 0,
4138
      EN_ECC_READ => FALSE,
4139
      EN_ECC_WRITE => FALSE,
4140
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
4141
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
4142
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
4143
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
4144
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
4145
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
4146
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
4147
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
4148
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
4149
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
4150
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
4151
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
4152
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
4153
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
4154
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
4155
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
4156
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
4157
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
4158
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
4159
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
4160
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
4161
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
4162
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
4163
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
4164
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
4165
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
4166
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
4167
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
4168
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
4169
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
4170
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
4171
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
4172
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
4173
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
4174
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
4175
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
4176
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
4177
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
4178
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
4179
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
4180
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
4181
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
4182
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
4183
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
4184
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
4185
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
4186
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
4187
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
4188
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
4189
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
4190
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
4191
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
4192
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
4193
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
4194
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
4195
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
4196
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
4197
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
4198
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
4199
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
4200
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
4201
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
4202
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
4203
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
4204
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
4205
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
4206
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
4207
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
4208
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
4209
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
4210
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
4211
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
4212
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
4213
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
4214
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
4215
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
4216
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
4217
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
4218
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
4219
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
4220
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
4221
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
4222
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
4223
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
4224
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
4225
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
4226
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
4227
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
4228
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
4229
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
4230
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
4231
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
4232
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
4233
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
4234
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
4235
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
4236
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
4237
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
4238
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
4239
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
4240
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
4241
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
4242
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
4243
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
4244
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
4245
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
4246
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
4247
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
4248
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
4249
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
4250
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
4251
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
4252
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
4253
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
4254
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
4255
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
4256
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
4257
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
4258
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
4259
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
4260
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
4261
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
4262
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
4263
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
4264
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
4265
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
4266
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
4267
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
4268
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
4269
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
4270
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
4271
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
4272
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
4273
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
4274
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
4275
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
4276
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
4277
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
4278
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
4279
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
4280
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
4281
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
4282
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
4283
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
4284
      INIT_A => X"000000000",
4285
      INIT_B => X"000000000",
4286
      INIT_FILE => "NONE",
4287
      RAM_EXTENSION_A => "NONE",
4288
      RAM_EXTENSION_B => "NONE",
4289
      RAM_MODE => "TDP",
4290
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
4291
      READ_WIDTH_A => 9,
4292
      READ_WIDTH_B => 9,
4293
      RSTREG_PRIORITY_A => "REGCE",
4294
      RSTREG_PRIORITY_B => "REGCE",
4295
      SIM_COLLISION_CHECK => "ALL",
4296
      SIM_DEVICE => "VIRTEX6",
4297
      SRVAL_A => X"000000000",
4298
      SRVAL_B => X"000000000",
4299
      WRITE_MODE_A => "WRITE_FIRST",
4300
      WRITE_MODE_B => "WRITE_FIRST",
4301
      WRITE_WIDTH_A => 9,
4302
      WRITE_WIDTH_B => 9
4303
    )
4304
    port map (
4305
      CASCADEINA => N1,
4306
      CASCADEINB => N1,
4307
      CASCADEOUTA =>
4308
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
4309
      CASCADEOUTB =>
4310
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
4311
      CLKARDCLK => clka,
4312
      CLKBWRCLK => clkb,
4313
      DBITERR =>
4314
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
4315
      ENARDEN => N0,
4316
      ENBWREN => N0,
4317
      INJECTDBITERR => N1,
4318
      INJECTSBITERR => N1,
4319
      REGCEAREGCE => N1,
4320
      REGCEB => N1,
4321
      RSTRAMARSTRAM => N1,
4322
      RSTRAMB => N1,
4323
      RSTREGARSTREG => N1,
4324
      RSTREGB => N1,
4325
      SBITERR =>
4326
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
4327
      ADDRARDADDR(15) => N0,
4328
      ADDRARDADDR(14) => addra(11),
4329
      ADDRARDADDR(13) => addra(10),
4330
      ADDRARDADDR(12) => addra(9),
4331
      ADDRARDADDR(11) => addra(8),
4332
      ADDRARDADDR(10) => addra(7),
4333
      ADDRARDADDR(9) => addra(6),
4334
      ADDRARDADDR(8) => addra(5),
4335
      ADDRARDADDR(7) => addra(4),
4336
      ADDRARDADDR(6) => addra(3),
4337
      ADDRARDADDR(5) => addra(2),
4338
      ADDRARDADDR(4) => addra(1),
4339
      ADDRARDADDR(3) => addra(0),
4340
      ADDRARDADDR(2) => N1,
4341
      ADDRARDADDR(1) => N1,
4342
      ADDRARDADDR(0) => N1,
4343
      ADDRBWRADDR(15) => N0,
4344
      ADDRBWRADDR(14) => addrb(11),
4345
      ADDRBWRADDR(13) => addrb(10),
4346
      ADDRBWRADDR(12) => addrb(9),
4347
      ADDRBWRADDR(11) => addrb(8),
4348
      ADDRBWRADDR(10) => addrb(7),
4349
      ADDRBWRADDR(9) => addrb(6),
4350
      ADDRBWRADDR(8) => addrb(5),
4351
      ADDRBWRADDR(7) => addrb(4),
4352
      ADDRBWRADDR(6) => addrb(3),
4353
      ADDRBWRADDR(5) => addrb(2),
4354
      ADDRBWRADDR(4) => addrb(1),
4355
      ADDRBWRADDR(3) => addrb(0),
4356
      ADDRBWRADDR(2) => N1,
4357
      ADDRBWRADDR(1) => N1,
4358
      ADDRBWRADDR(0) => N1,
4359
      DIADI(31) => N1,
4360
      DIADI(30) => N1,
4361
      DIADI(29) => N1,
4362
      DIADI(28) => N1,
4363
      DIADI(27) => N1,
4364
      DIADI(26) => N1,
4365
      DIADI(25) => N1,
4366
      DIADI(24) => N1,
4367
      DIADI(23) => N1,
4368
      DIADI(22) => N1,
4369
      DIADI(21) => N1,
4370
      DIADI(20) => N1,
4371
      DIADI(19) => N1,
4372
      DIADI(18) => N1,
4373
      DIADI(17) => N1,
4374
      DIADI(16) => N1,
4375
      DIADI(15) => N1,
4376
      DIADI(14) => N1,
4377
      DIADI(13) => N1,
4378
      DIADI(12) => N1,
4379
      DIADI(11) => N1,
4380
      DIADI(10) => N1,
4381
      DIADI(9) => N1,
4382
      DIADI(8) => N1,
4383
      DIADI(7) => dina(15),
4384
      DIADI(6) => dina(14),
4385
      DIADI(5) => dina(13),
4386
      DIADI(4) => dina(12),
4387
      DIADI(3) => dina(11),
4388
      DIADI(2) => dina(10),
4389
      DIADI(1) => dina(9),
4390
      DIADI(0) => dina(8),
4391
      DIBDI(31) => N1,
4392
      DIBDI(30) => N1,
4393
      DIBDI(29) => N1,
4394
      DIBDI(28) => N1,
4395
      DIBDI(27) => N1,
4396
      DIBDI(26) => N1,
4397
      DIBDI(25) => N1,
4398
      DIBDI(24) => N1,
4399
      DIBDI(23) => N1,
4400
      DIBDI(22) => N1,
4401
      DIBDI(21) => N1,
4402
      DIBDI(20) => N1,
4403
      DIBDI(19) => N1,
4404
      DIBDI(18) => N1,
4405
      DIBDI(17) => N1,
4406
      DIBDI(16) => N1,
4407
      DIBDI(15) => N1,
4408
      DIBDI(14) => N1,
4409
      DIBDI(13) => N1,
4410
      DIBDI(12) => N1,
4411
      DIBDI(11) => N1,
4412
      DIBDI(10) => N1,
4413
      DIBDI(9) => N1,
4414
      DIBDI(8) => N1,
4415
      DIBDI(7) => dinb(15),
4416
      DIBDI(6) => dinb(14),
4417
      DIBDI(5) => dinb(13),
4418
      DIBDI(4) => dinb(12),
4419
      DIBDI(3) => dinb(11),
4420
      DIBDI(2) => dinb(10),
4421
      DIBDI(1) => dinb(9),
4422
      DIBDI(0) => dinb(8),
4423
      DIPADIP(3) => N1,
4424
      DIPADIP(2) => N1,
4425
      DIPADIP(1) => N1,
4426
      DIPADIP(0) => N1,
4427
      DIPBDIP(3) => N1,
4428
      DIPBDIP(2) => N1,
4429
      DIPBDIP(1) => N1,
4430
      DIPBDIP(0) => N1,
4431
      DOADO(31) =>
4432
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
4433
      DOADO(30) =>
4434
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
4435
      DOADO(29) =>
4436
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
4437
      DOADO(28) =>
4438
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
4439
      DOADO(27) =>
4440
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
4441
      DOADO(26) =>
4442
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
4443
      DOADO(25) =>
4444
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
4445
      DOADO(24) =>
4446
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
4447
      DOADO(23) =>
4448
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
4449
      DOADO(22) =>
4450
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
4451
      DOADO(21) =>
4452
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
4453
      DOADO(20) =>
4454
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
4455
      DOADO(19) =>
4456
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
4457
      DOADO(18) =>
4458
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
4459
      DOADO(17) =>
4460
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
4461
      DOADO(16) =>
4462
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
4463
      DOADO(15) =>
4464
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
4465
      DOADO(14) =>
4466
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
4467
      DOADO(13) =>
4468
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
4469
      DOADO(12) =>
4470
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
4471
      DOADO(11) =>
4472
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
4473
      DOADO(10) =>
4474
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
4475
      DOADO(9) =>
4476
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
4477
      DOADO(8) =>
4478
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
4479
      DOADO(7) => douta(15),
4480
      DOADO(6) => douta(14),
4481
      DOADO(5) => douta(13),
4482
      DOADO(4) => douta(12),
4483
      DOADO(3) => douta(11),
4484
      DOADO(2) => douta(10),
4485
      DOADO(1) => douta(9),
4486
      DOADO(0) => douta(8),
4487
      DOBDO(31) =>
4488
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
4489
      DOBDO(30) =>
4490
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
4491
      DOBDO(29) =>
4492
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
4493
      DOBDO(28) =>
4494
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
4495
      DOBDO(27) =>
4496
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
4497
      DOBDO(26) =>
4498
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
4499
      DOBDO(25) =>
4500
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
4501
      DOBDO(24) =>
4502
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
4503
      DOBDO(23) =>
4504
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
4505
      DOBDO(22) =>
4506
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
4507
      DOBDO(21) =>
4508
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
4509
      DOBDO(20) =>
4510
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
4511
      DOBDO(19) =>
4512
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
4513
      DOBDO(18) =>
4514
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
4515
      DOBDO(17) =>
4516
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
4517
      DOBDO(16) =>
4518
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
4519
      DOBDO(15) =>
4520
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
4521
      DOBDO(14) =>
4522
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
4523
      DOBDO(13) =>
4524
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
4525
      DOBDO(12) =>
4526
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
4527
      DOBDO(11) =>
4528
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
4529
      DOBDO(10) =>
4530
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
4531
      DOBDO(9) =>
4532
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
4533
      DOBDO(8) =>
4534
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
4535
      DOBDO(7) => doutb(15),
4536
      DOBDO(6) => doutb(14),
4537
      DOBDO(5) => doutb(13),
4538
      DOBDO(4) => doutb(12),
4539
      DOBDO(3) => doutb(11),
4540
      DOBDO(2) => doutb(10),
4541
      DOBDO(1) => doutb(9),
4542
      DOBDO(0) => doutb(8),
4543
      DOPADOP(3) =>
4544
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
4545
      DOPADOP(2) =>
4546
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
4547
      DOPADOP(1) =>
4548
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
4549
      DOPADOP(0) =>
4550
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
4551
      DOPBDOP(3) =>
4552
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
4553
      DOPBDOP(2) =>
4554
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
4555
      DOPBDOP(1) =>
4556
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
4557
      DOPBDOP(0) =>
4558
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
4559
      ECCPARITY(7) =>
4560
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
4561
      ECCPARITY(6) =>
4562
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
4563
      ECCPARITY(5) =>
4564
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
4565
      ECCPARITY(4) =>
4566
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
4567
      ECCPARITY(3) =>
4568
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
4569
      ECCPARITY(2) =>
4570
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
4571
      ECCPARITY(1) =>
4572
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
4573
      ECCPARITY(0) =>
4574
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
4575
      RDADDRECC(8) =>
4576
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
4577
      RDADDRECC(7) =>
4578
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
4579
      RDADDRECC(6) =>
4580
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
4581
      RDADDRECC(5) =>
4582
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
4583
      RDADDRECC(4) =>
4584
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
4585
      RDADDRECC(3) =>
4586
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
4587
      RDADDRECC(2) =>
4588
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
4589
      RDADDRECC(1) =>
4590
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
4591
      RDADDRECC(0) =>
4592
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
4593
      WEA(3) => wea(1),
4594
      WEA(2) => wea(1),
4595
      WEA(1) => wea(1),
4596
      WEA(0) => wea(1),
4597
      WEBWE(7) => N1,
4598
      WEBWE(6) => N1,
4599
      WEBWE(5) => N1,
4600
      WEBWE(4) => N1,
4601
      WEBWE(3) => web(1),
4602
      WEBWE(2) => web(1),
4603
      WEBWE(1) => web(1),
4604
      WEBWE(0) => web(1)
4605
    );
4606
  U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram : RAMB36E1
4607
    generic map(
4608
      DOA_REG => 0,
4609
      DOB_REG => 0,
4610
      EN_ECC_READ => FALSE,
4611
      EN_ECC_WRITE => FALSE,
4612
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
4613
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
4614
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
4615
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
4616
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
4617
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
4618
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
4619
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
4620
      INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
4621
      INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
4622
      INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
4623
      INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
4624
      INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
4625
      INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
4626
      INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
4627
      INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
4628
      INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
4629
      INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
4630
      INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
4631
      INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
4632
      INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
4633
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
4634
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
4635
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
4636
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
4637
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
4638
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
4639
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
4640
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
4641
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
4642
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
4643
      INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
4644
      INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
4645
      INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
4646
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
4647
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
4648
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
4649
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
4650
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
4651
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
4652
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
4653
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
4654
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
4655
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
4656
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
4657
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
4658
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
4659
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
4660
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
4661
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
4662
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
4663
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
4664
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
4665
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
4666
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
4667
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
4668
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
4669
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
4670
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
4671
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
4672
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
4673
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
4674
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
4675
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
4676
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
4677
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
4678
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
4679
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
4680
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
4681
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
4682
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
4683
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
4684
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
4685
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
4686
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
4687
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
4688
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
4689
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
4690
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
4691
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
4692
      INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
4693
      INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
4694
      INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
4695
      INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
4696
      INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
4697
      INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
4698
      INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
4699
      INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
4700
      INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
4701
      INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
4702
      INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
4703
      INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
4704
      INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
4705
      INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
4706
      INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
4707
      INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
4708
      INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
4709
      INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
4710
      INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
4711
      INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
4712
      INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
4713
      INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
4714
      INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
4715
      INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
4716
      INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
4717
      INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
4718
      INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
4719
      INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
4720
      INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
4721
      INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
4722
      INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
4723
      INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
4724
      INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
4725
      INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
4726
      INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
4727
      INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
4728
      INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
4729
      INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
4730
      INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
4731
      INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
4732
      INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
4733
      INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
4734
      INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
4735
      INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
4736
      INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
4737
      INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
4738
      INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
4739
      INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
4740
      INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
4741
      INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
4742
      INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
4743
      INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
4744
      INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
4745
      INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
4746
      INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
4747
      INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
4748
      INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
4749
      INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
4750
      INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
4751
      INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
4752
      INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
4753
      INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
4754
      INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
4755
      INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
4756
      INIT_A => X"000000000",
4757
      INIT_B => X"000000000",
4758
      INIT_FILE => "NONE",
4759
      RAM_EXTENSION_A => "NONE",
4760
      RAM_EXTENSION_B => "NONE",
4761
      RAM_MODE => "TDP",
4762
      RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
4763
      READ_WIDTH_A => 9,
4764
      READ_WIDTH_B => 9,
4765
      RSTREG_PRIORITY_A => "REGCE",
4766
      RSTREG_PRIORITY_B => "REGCE",
4767
      SIM_COLLISION_CHECK => "ALL",
4768
      SIM_DEVICE => "VIRTEX6",
4769
      SRVAL_A => X"000000000",
4770
      SRVAL_B => X"000000000",
4771
      WRITE_MODE_A => "WRITE_FIRST",
4772
      WRITE_MODE_B => "WRITE_FIRST",
4773
      WRITE_WIDTH_A => 9,
4774
      WRITE_WIDTH_B => 9
4775
    )
4776
    port map (
4777
      CASCADEINA => N1,
4778
      CASCADEINB => N1,
4779
      CASCADEOUTA =>
4780
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTA_UNCONNECTED,
4781
      CASCADEOUTB =>
4782
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_CASCADEOUTB_UNCONNECTED,
4783
      CLKARDCLK => clka,
4784
      CLKBWRCLK => clkb,
4785
      DBITERR =>
4786
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DBITERR_UNCONNECTED,
4787
      ENARDEN => N0,
4788
      ENBWREN => N0,
4789
      INJECTDBITERR => N1,
4790
      INJECTSBITERR => N1,
4791
      REGCEAREGCE => N1,
4792
      REGCEB => N1,
4793
      RSTRAMARSTRAM => N1,
4794
      RSTRAMB => N1,
4795
      RSTREGARSTREG => N1,
4796
      RSTREGB => N1,
4797
      SBITERR =>
4798
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_SBITERR_UNCONNECTED,
4799
      ADDRARDADDR(15) => N0,
4800
      ADDRARDADDR(14) => addra(11),
4801
      ADDRARDADDR(13) => addra(10),
4802
      ADDRARDADDR(12) => addra(9),
4803
      ADDRARDADDR(11) => addra(8),
4804
      ADDRARDADDR(10) => addra(7),
4805
      ADDRARDADDR(9) => addra(6),
4806
      ADDRARDADDR(8) => addra(5),
4807
      ADDRARDADDR(7) => addra(4),
4808
      ADDRARDADDR(6) => addra(3),
4809
      ADDRARDADDR(5) => addra(2),
4810
      ADDRARDADDR(4) => addra(1),
4811
      ADDRARDADDR(3) => addra(0),
4812
      ADDRARDADDR(2) => N1,
4813
      ADDRARDADDR(1) => N1,
4814
      ADDRARDADDR(0) => N1,
4815
      ADDRBWRADDR(15) => N0,
4816
      ADDRBWRADDR(14) => addrb(11),
4817
      ADDRBWRADDR(13) => addrb(10),
4818
      ADDRBWRADDR(12) => addrb(9),
4819
      ADDRBWRADDR(11) => addrb(8),
4820
      ADDRBWRADDR(10) => addrb(7),
4821
      ADDRBWRADDR(9) => addrb(6),
4822
      ADDRBWRADDR(8) => addrb(5),
4823
      ADDRBWRADDR(7) => addrb(4),
4824
      ADDRBWRADDR(6) => addrb(3),
4825
      ADDRBWRADDR(5) => addrb(2),
4826
      ADDRBWRADDR(4) => addrb(1),
4827
      ADDRBWRADDR(3) => addrb(0),
4828
      ADDRBWRADDR(2) => N1,
4829
      ADDRBWRADDR(1) => N1,
4830
      ADDRBWRADDR(0) => N1,
4831
      DIADI(31) => N1,
4832
      DIADI(30) => N1,
4833
      DIADI(29) => N1,
4834
      DIADI(28) => N1,
4835
      DIADI(27) => N1,
4836
      DIADI(26) => N1,
4837
      DIADI(25) => N1,
4838
      DIADI(24) => N1,
4839
      DIADI(23) => N1,
4840
      DIADI(22) => N1,
4841
      DIADI(21) => N1,
4842
      DIADI(20) => N1,
4843
      DIADI(19) => N1,
4844
      DIADI(18) => N1,
4845
      DIADI(17) => N1,
4846
      DIADI(16) => N1,
4847
      DIADI(15) => N1,
4848
      DIADI(14) => N1,
4849
      DIADI(13) => N1,
4850
      DIADI(12) => N1,
4851
      DIADI(11) => N1,
4852
      DIADI(10) => N1,
4853
      DIADI(9) => N1,
4854
      DIADI(8) => N1,
4855
      DIADI(7) => dina(7),
4856
      DIADI(6) => dina(6),
4857
      DIADI(5) => dina(5),
4858
      DIADI(4) => dina(4),
4859
      DIADI(3) => dina(3),
4860
      DIADI(2) => dina(2),
4861
      DIADI(1) => dina(1),
4862
      DIADI(0) => dina(0),
4863
      DIBDI(31) => N1,
4864
      DIBDI(30) => N1,
4865
      DIBDI(29) => N1,
4866
      DIBDI(28) => N1,
4867
      DIBDI(27) => N1,
4868
      DIBDI(26) => N1,
4869
      DIBDI(25) => N1,
4870
      DIBDI(24) => N1,
4871
      DIBDI(23) => N1,
4872
      DIBDI(22) => N1,
4873
      DIBDI(21) => N1,
4874
      DIBDI(20) => N1,
4875
      DIBDI(19) => N1,
4876
      DIBDI(18) => N1,
4877
      DIBDI(17) => N1,
4878
      DIBDI(16) => N1,
4879
      DIBDI(15) => N1,
4880
      DIBDI(14) => N1,
4881
      DIBDI(13) => N1,
4882
      DIBDI(12) => N1,
4883
      DIBDI(11) => N1,
4884
      DIBDI(10) => N1,
4885
      DIBDI(9) => N1,
4886
      DIBDI(8) => N1,
4887
      DIBDI(7) => dinb(7),
4888
      DIBDI(6) => dinb(6),
4889
      DIBDI(5) => dinb(5),
4890
      DIBDI(4) => dinb(4),
4891
      DIBDI(3) => dinb(3),
4892
      DIBDI(2) => dinb(2),
4893
      DIBDI(1) => dinb(1),
4894
      DIBDI(0) => dinb(0),
4895
      DIPADIP(3) => N1,
4896
      DIPADIP(2) => N1,
4897
      DIPADIP(1) => N1,
4898
      DIPADIP(0) => N1,
4899
      DIPBDIP(3) => N1,
4900
      DIPBDIP(2) => N1,
4901
      DIPBDIP(1) => N1,
4902
      DIPBDIP(0) => N1,
4903
      DOADO(31) =>
4904
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_31_UNCONNECTED,
4905
      DOADO(30) =>
4906
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_30_UNCONNECTED,
4907
      DOADO(29) =>
4908
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_29_UNCONNECTED,
4909
      DOADO(28) =>
4910
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_28_UNCONNECTED,
4911
      DOADO(27) =>
4912
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_27_UNCONNECTED,
4913
      DOADO(26) =>
4914
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_26_UNCONNECTED,
4915
      DOADO(25) =>
4916
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_25_UNCONNECTED,
4917
      DOADO(24) =>
4918
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_24_UNCONNECTED,
4919
      DOADO(23) =>
4920
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_23_UNCONNECTED,
4921
      DOADO(22) =>
4922
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_22_UNCONNECTED,
4923
      DOADO(21) =>
4924
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_21_UNCONNECTED,
4925
      DOADO(20) =>
4926
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_20_UNCONNECTED,
4927
      DOADO(19) =>
4928
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_19_UNCONNECTED,
4929
      DOADO(18) =>
4930
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_18_UNCONNECTED,
4931
      DOADO(17) =>
4932
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_17_UNCONNECTED,
4933
      DOADO(16) =>
4934
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_16_UNCONNECTED,
4935
      DOADO(15) =>
4936
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_15_UNCONNECTED,
4937
      DOADO(14) =>
4938
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_14_UNCONNECTED,
4939
      DOADO(13) =>
4940
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_13_UNCONNECTED,
4941
      DOADO(12) =>
4942
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_12_UNCONNECTED,
4943
      DOADO(11) =>
4944
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_11_UNCONNECTED,
4945
      DOADO(10) =>
4946
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_10_UNCONNECTED,
4947
      DOADO(9) =>
4948
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_9_UNCONNECTED,
4949
      DOADO(8) =>
4950
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOADO_8_UNCONNECTED,
4951
      DOADO(7) => douta(7),
4952
      DOADO(6) => douta(6),
4953
      DOADO(5) => douta(5),
4954
      DOADO(4) => douta(4),
4955
      DOADO(3) => douta(3),
4956
      DOADO(2) => douta(2),
4957
      DOADO(1) => douta(1),
4958
      DOADO(0) => douta(0),
4959
      DOBDO(31) =>
4960
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_31_UNCONNECTED,
4961
      DOBDO(30) =>
4962
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_30_UNCONNECTED,
4963
      DOBDO(29) =>
4964
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_29_UNCONNECTED,
4965
      DOBDO(28) =>
4966
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_28_UNCONNECTED,
4967
      DOBDO(27) =>
4968
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_27_UNCONNECTED,
4969
      DOBDO(26) =>
4970
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_26_UNCONNECTED,
4971
      DOBDO(25) =>
4972
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_25_UNCONNECTED,
4973
      DOBDO(24) =>
4974
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_24_UNCONNECTED,
4975
      DOBDO(23) =>
4976
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_23_UNCONNECTED,
4977
      DOBDO(22) =>
4978
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_22_UNCONNECTED,
4979
      DOBDO(21) =>
4980
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_21_UNCONNECTED,
4981
      DOBDO(20) =>
4982
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_20_UNCONNECTED,
4983
      DOBDO(19) =>
4984
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_19_UNCONNECTED,
4985
      DOBDO(18) =>
4986
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_18_UNCONNECTED,
4987
      DOBDO(17) =>
4988
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_17_UNCONNECTED,
4989
      DOBDO(16) =>
4990
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_16_UNCONNECTED,
4991
      DOBDO(15) =>
4992
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_15_UNCONNECTED,
4993
      DOBDO(14) =>
4994
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_14_UNCONNECTED,
4995
      DOBDO(13) =>
4996
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_13_UNCONNECTED,
4997
      DOBDO(12) =>
4998
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_12_UNCONNECTED,
4999
      DOBDO(11) =>
5000
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_11_UNCONNECTED,
5001
      DOBDO(10) =>
5002
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_10_UNCONNECTED,
5003
      DOBDO(9) =>
5004
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_9_UNCONNECTED,
5005
      DOBDO(8) =>
5006
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOBDO_8_UNCONNECTED,
5007
      DOBDO(7) => doutb(7),
5008
      DOBDO(6) => doutb(6),
5009
      DOBDO(5) => doutb(5),
5010
      DOBDO(4) => doutb(4),
5011
      DOBDO(3) => doutb(3),
5012
      DOBDO(2) => doutb(2),
5013
      DOBDO(1) => doutb(1),
5014
      DOBDO(0) => doutb(0),
5015
      DOPADOP(3) =>
5016
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_3_UNCONNECTED,
5017
      DOPADOP(2) =>
5018
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_2_UNCONNECTED,
5019
      DOPADOP(1) =>
5020
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_1_UNCONNECTED,
5021
      DOPADOP(0) =>
5022
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPADOP_0_UNCONNECTED,
5023
      DOPBDOP(3) =>
5024
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_3_UNCONNECTED,
5025
      DOPBDOP(2) =>
5026
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_2_UNCONNECTED,
5027
      DOPBDOP(1) =>
5028
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_1_UNCONNECTED,
5029
      DOPBDOP(0) =>
5030
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_DOPBDOP_0_UNCONNECTED,
5031
      ECCPARITY(7) =>
5032
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_7_UNCONNECTED,
5033
      ECCPARITY(6) =>
5034
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_6_UNCONNECTED,
5035
      ECCPARITY(5) =>
5036
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_5_UNCONNECTED,
5037
      ECCPARITY(4) =>
5038
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_4_UNCONNECTED,
5039
      ECCPARITY(3) =>
5040
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_3_UNCONNECTED,
5041
      ECCPARITY(2) =>
5042
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_2_UNCONNECTED,
5043
      ECCPARITY(1) =>
5044
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_1_UNCONNECTED,
5045
      ECCPARITY(0) =>
5046
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_ECCPARITY_0_UNCONNECTED,
5047
      RDADDRECC(8) =>
5048
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_8_UNCONNECTED,
5049
      RDADDRECC(7) =>
5050
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_7_UNCONNECTED,
5051
      RDADDRECC(6) =>
5052
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_6_UNCONNECTED,
5053
      RDADDRECC(5) =>
5054
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_5_UNCONNECTED,
5055
      RDADDRECC(4) =>
5056
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_4_UNCONNECTED,
5057
      RDADDRECC(3) =>
5058
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_3_UNCONNECTED,
5059
      RDADDRECC(2) =>
5060
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_2_UNCONNECTED,
5061
      RDADDRECC(1) =>
5062
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_1_UNCONNECTED,
5063
      RDADDRECC(0) =>
5064
NLW_U0_xst_blk_mem_generator_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v6_noinit_ram_TRUE_DP_SIMPLE_PRIM36_ram_RDADDRECC_0_UNCONNECTED,
5065
      WEA(3) => wea(0),
5066
      WEA(2) => wea(0),
5067
      WEA(1) => wea(0),
5068
      WEA(0) => wea(0),
5069
      WEBWE(7) => N1,
5070
      WEBWE(6) => N1,
5071
      WEBWE(5) => N1,
5072
      WEBWE(4) => N1,
5073
      WEBWE(3) => web(0),
5074
      WEBWE(2) => web(0),
5075
      WEBWE(1) => web(0),
5076
      WEBWE(0) => web(0)
5077
    );
5078
 
5079
end STRUCTURE;
5080
 
5081
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.