OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_bram4096x64_fast_ste/] [example_design/] [v6_bram4096x64_fast_top.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
--------------------------------------------------------------------------------
2
--
3
-- BLK MEM GEN v6.2 Core - Top-level core wrapper
4
--
5
--------------------------------------------------------------------------------
6
--
7
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
8
--
9
-- This file contains confidential and proprietary information
10
-- of Xilinx, Inc. and is protected under U.S. and
11
-- international copyright and other intellectual property
12
-- laws.
13
--
14
-- DISCLAIMER
15
-- This disclaimer is not a license and does not grant any
16
-- rights to the materials distributed herewith. Except as
17
-- otherwise provided in a valid license issued to you by
18
-- Xilinx, and to the maximum extent permitted by applicable
19
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24
-- (2) Xilinx shall not be liable (whether in contract or tort,
25
-- including negligence, or under any other theory of
26
-- liability) for any loss or damage of any kind or nature
27
-- related to, arising under or in connection with these
28
-- materials, including for any direct, or any indirect,
29
-- special, incidental, or consequential loss or damage
30
-- (including loss of data, profits, goodwill, or any type of
31
-- loss or damage suffered as a result of any action brought
32
-- by a third party) even if such damage or loss was
33
-- reasonably foreseeable or Xilinx had been advised of the
34
-- possibility of the same.
35
--
36
-- CRITICAL APPLICATIONS
37
-- Xilinx products are not designed or intended to be fail-
38
-- safe, or for use in any application requiring fail-safe
39
-- performance, such as life-support or safety devices or
40
-- systems, Class III medical devices, nuclear facilities,
41
-- applications related to the deployment of airbags, or any
42
-- other applications that could lead to death, personal
43
-- injury, or severe property or environmental damage
44
-- (individually and collectively, "Critical
45
-- Applications"). Customer assumes the sole risk and
46
-- liability of any use of Xilinx products in Critical
47
-- Applications, subject only to applicable laws and
48
-- regulations governing limitations on product liability.
49
--
50
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51
-- PART OF THIS FILE AT ALL TIMES.
52
 
53
--------------------------------------------------------------------------------
54
--
55
-- Filename: bmg_wrapper.vhd
56
--
57
-- Description:
58
--   This is the actual BMG core wrapper.
59
--
60
--------------------------------------------------------------------------------
61
-- Author: IP Solutions Division
62
--
63
-- History: August 31, 2005 - First Release
64
--------------------------------------------------------------------------------
65
--
66
--------------------------------------------------------------------------------
67
-- Library Declarations
68
--------------------------------------------------------------------------------
69
 
70
LIBRARY IEEE;
71
USE IEEE.STD_LOGIC_1164.ALL;
72
USE IEEE.STD_LOGIC_ARITH.ALL;
73
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
74
 
75
LIBRARY UNISIM;
76
USE UNISIM.VCOMPONENTS.ALL;
77
 
78
--------------------------------------------------------------------------------
79
-- Entity Declaration
80
--------------------------------------------------------------------------------
81
ENTITY v6_bram4096x64_fast_top IS
82
  PORT (
83
      --Inputs - Port A
84
 
85
    WEA            : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
86
    ADDRA          : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
87
 
88
    DINA           : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
89
 
90
    DOUTA          : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
91
 
92
    CLKA       : IN STD_LOGIC;
93
 
94
 
95
      --Inputs - Port B
96
 
97
    WEB            : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
98
    ADDRB          : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
99
 
100
    DINB           : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
101
    DOUTB          : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
102
    CLKB           : IN STD_LOGIC
103
 
104
  );
105
 
106
END v6_bram4096x64_fast_top;
107
 
108
 
109
ARCHITECTURE xilinx OF v6_bram4096x64_fast_top IS
110
 
111
  COMPONENT BUFG IS
112
  PORT (
113
     I      : IN STD_ULOGIC;
114
     O      : OUT STD_ULOGIC
115
  );
116
  END COMPONENT;
117
 
118
  COMPONENT v6_bram4096x64_fast IS
119
  PORT (
120
      --Port A
121
 
122
    WEA        : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
123
    ADDRA      : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
124
 
125
    DINA       : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
126
 
127
    DOUTA      : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
128
 
129
 
130
    CLKA       : IN STD_LOGIC;
131
 
132
 
133
      --Port B
134
 
135
    WEB        : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
136
    ADDRB      : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
137
 
138
    DINB       : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
139
    DOUTB      : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
140
    CLKB       : IN STD_LOGIC
141
 
142
 
143
  );
144
  END COMPONENT;
145
 
146
  SIGNAL CLKA_buf     : STD_LOGIC;
147
  SIGNAL CLKB_buf     : STD_LOGIC;
148
  SIGNAL S_ACLK_buf   : STD_LOGIC;
149
 
150
BEGIN
151
 
152
  bufg_A : BUFG
153
    PORT MAP (
154
     I => CLKA,
155
     O => CLKA_buf
156
     );
157
 
158
  bufg_B : BUFG
159
    PORT MAP (
160
     I => CLKB,
161
     O => CLKB_buf
162
     );
163
 
164
 
165
  bmg0 : v6_bram4096x64_fast
166
    PORT MAP (
167
      --Port A
168
 
169
      WEA        => WEA,
170
      ADDRA      => ADDRA,
171
 
172
      DINA       => DINA,
173
 
174
      DOUTA      => DOUTA,
175
 
176
      CLKA       => CLKA_buf,
177
 
178
 
179
      --Port B
180
 
181
      WEB        => WEB,
182
      ADDRB      => ADDRB,
183
 
184
      DINB       => DINB,
185
      DOUTB      => DOUTB,
186
      CLKB       => CLKB_buf
187
 
188
    );
189
 
190
END xilinx;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.