OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_eb_fifo_counted_new.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used solely      *
3
*     for design, simulation, implementation and creation of design files      *
4
*     limited to Xilinx devices or technologies. Use with non-Xilinx           *
5
*     devices or technologies is expressly prohibited and immediately          *
6
*     terminates your license.                                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY     *
9
*     FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY     *
10
*     PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE              *
11
*     IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS       *
12
*     MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY       *
13
*     CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY        *
14
*     RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY        *
15
*     DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE    *
16
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
17
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
18
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A    *
19
*     PARTICULAR PURPOSE.                                                      *
20
*                                                                              *
21
*     Xilinx products are not intended for use in life support appliances,     *
22
*     devices, or systems.  Use in such applications are expressly             *
23
*     prohibited.                                                              *
24
*                                                                              *
25
*     (c) Copyright 1995-2012 Xilinx, Inc.                                     *
26
*     All rights reserved.                                                     *
27
*******************************************************************************/
28
// You must compile the wrapper file v6_eb_fifo_counted_new.v when simulating
29
// the core, v6_eb_fifo_counted_new. When compiling the wrapper file, be sure to
30
// reference the XilinxCoreLib Verilog simulation library. For detailed
31
// instructions, please refer to the "CORE Generator Help".
32
 
33
// The synthesis directives "translate_off/translate_on" specified below are
34
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
35
// tools. Ensure they are correct for your synthesis tool(s).
36
 
37
`timescale 1ns/1ps
38
 
39
module v6_eb_fifo_counted_new(
40
  rst,
41
  wr_clk,
42
  rd_clk,
43
  din,
44
  wr_en,
45
  rd_en,
46
  dout,
47
  full,
48
  empty,
49
  valid,
50
  rd_data_count,
51
  wr_data_count,
52
  prog_full,
53
  prog_empty
54
);
55
 
56
input rst;
57
input wr_clk;
58
input rd_clk;
59
input [71 : 0] din;
60
input wr_en;
61
input rd_en;
62
output [71 : 0] dout;
63
output full;
64
output empty;
65
output valid;
66
output [14 : 0] rd_data_count;
67
output [14 : 0] wr_data_count;
68
output prog_full;
69
output prog_empty;
70
 
71
// synthesis translate_off
72
 
73
  FIFO_GENERATOR_V8_3 #(
74
    .C_ADD_NGC_CONSTRAINT(0),
75
    .C_APPLICATION_TYPE_AXIS(0),
76
    .C_APPLICATION_TYPE_RACH(0),
77
    .C_APPLICATION_TYPE_RDCH(0),
78
    .C_APPLICATION_TYPE_WACH(0),
79
    .C_APPLICATION_TYPE_WDCH(0),
80
    .C_APPLICATION_TYPE_WRCH(0),
81
    .C_AXI_ADDR_WIDTH(32),
82
    .C_AXI_ARUSER_WIDTH(1),
83
    .C_AXI_AWUSER_WIDTH(1),
84
    .C_AXI_BUSER_WIDTH(1),
85
    .C_AXI_DATA_WIDTH(64),
86
    .C_AXI_ID_WIDTH(4),
87
    .C_AXI_RUSER_WIDTH(1),
88
    .C_AXI_TYPE(0),
89
    .C_AXI_WUSER_WIDTH(1),
90
    .C_AXIS_TDATA_WIDTH(64),
91
    .C_AXIS_TDEST_WIDTH(4),
92
    .C_AXIS_TID_WIDTH(8),
93
    .C_AXIS_TKEEP_WIDTH(4),
94
    .C_AXIS_TSTRB_WIDTH(4),
95
    .C_AXIS_TUSER_WIDTH(4),
96
    .C_AXIS_TYPE(0),
97
    .C_COMMON_CLOCK(0),
98
    .C_COUNT_TYPE(0),
99
    .C_DATA_COUNT_WIDTH(15),
100
    .C_DEFAULT_VALUE("BlankString"),
101
    .C_DIN_WIDTH(72),
102
    .C_DIN_WIDTH_AXIS(1),
103
    .C_DIN_WIDTH_RACH(32),
104
    .C_DIN_WIDTH_RDCH(64),
105
    .C_DIN_WIDTH_WACH(32),
106
    .C_DIN_WIDTH_WDCH(64),
107
    .C_DIN_WIDTH_WRCH(2),
108
    .C_DOUT_RST_VAL("0"),
109
    .C_DOUT_WIDTH(72),
110
    .C_ENABLE_RLOCS(0),
111
    .C_ENABLE_RST_SYNC(1),
112
    .C_ERROR_INJECTION_TYPE(0),
113
    .C_ERROR_INJECTION_TYPE_AXIS(0),
114
    .C_ERROR_INJECTION_TYPE_RACH(0),
115
    .C_ERROR_INJECTION_TYPE_RDCH(0),
116
    .C_ERROR_INJECTION_TYPE_WACH(0),
117
    .C_ERROR_INJECTION_TYPE_WDCH(0),
118
    .C_ERROR_INJECTION_TYPE_WRCH(0),
119
    .C_FAMILY("virtex6"),
120
    .C_FULL_FLAGS_RST_VAL(1),
121
    .C_HAS_ALMOST_EMPTY(0),
122
    .C_HAS_ALMOST_FULL(0),
123
    .C_HAS_AXI_ARUSER(0),
124
    .C_HAS_AXI_AWUSER(0),
125
    .C_HAS_AXI_BUSER(0),
126
    .C_HAS_AXI_RD_CHANNEL(0),
127
    .C_HAS_AXI_RUSER(0),
128
    .C_HAS_AXI_WR_CHANNEL(0),
129
    .C_HAS_AXI_WUSER(0),
130
    .C_HAS_AXIS_TDATA(0),
131
    .C_HAS_AXIS_TDEST(0),
132
    .C_HAS_AXIS_TID(0),
133
    .C_HAS_AXIS_TKEEP(0),
134
    .C_HAS_AXIS_TLAST(0),
135
    .C_HAS_AXIS_TREADY(1),
136
    .C_HAS_AXIS_TSTRB(0),
137
    .C_HAS_AXIS_TUSER(0),
138
    .C_HAS_BACKUP(0),
139
    .C_HAS_DATA_COUNT(0),
140
    .C_HAS_DATA_COUNTS_AXIS(0),
141
    .C_HAS_DATA_COUNTS_RACH(0),
142
    .C_HAS_DATA_COUNTS_RDCH(0),
143
    .C_HAS_DATA_COUNTS_WACH(0),
144
    .C_HAS_DATA_COUNTS_WDCH(0),
145
    .C_HAS_DATA_COUNTS_WRCH(0),
146
    .C_HAS_INT_CLK(0),
147
    .C_HAS_MASTER_CE(0),
148
    .C_HAS_MEMINIT_FILE(0),
149
    .C_HAS_OVERFLOW(0),
150
    .C_HAS_PROG_FLAGS_AXIS(0),
151
    .C_HAS_PROG_FLAGS_RACH(0),
152
    .C_HAS_PROG_FLAGS_RDCH(0),
153
    .C_HAS_PROG_FLAGS_WACH(0),
154
    .C_HAS_PROG_FLAGS_WDCH(0),
155
    .C_HAS_PROG_FLAGS_WRCH(0),
156
    .C_HAS_RD_DATA_COUNT(1),
157
    .C_HAS_RD_RST(0),
158
    .C_HAS_RST(1),
159
    .C_HAS_SLAVE_CE(0),
160
    .C_HAS_SRST(0),
161
    .C_HAS_UNDERFLOW(0),
162
    .C_HAS_VALID(1),
163
    .C_HAS_WR_ACK(0),
164
    .C_HAS_WR_DATA_COUNT(1),
165
    .C_HAS_WR_RST(0),
166
    .C_IMPLEMENTATION_TYPE(2),
167
    .C_IMPLEMENTATION_TYPE_AXIS(1),
168
    .C_IMPLEMENTATION_TYPE_RACH(1),
169
    .C_IMPLEMENTATION_TYPE_RDCH(1),
170
    .C_IMPLEMENTATION_TYPE_WACH(1),
171
    .C_IMPLEMENTATION_TYPE_WDCH(1),
172
    .C_IMPLEMENTATION_TYPE_WRCH(1),
173
    .C_INIT_WR_PNTR_VAL(0),
174
    .C_INTERFACE_TYPE(0),
175
    .C_MEMORY_TYPE(1),
176
    .C_MIF_FILE_NAME("BlankString"),
177
    .C_MSGON_VAL(1),
178
    .C_OPTIMIZATION_MODE(0),
179
    .C_OVERFLOW_LOW(0),
180
    .C_PRELOAD_LATENCY(1),
181
    .C_PRELOAD_REGS(0),
182
    .C_PRIM_FIFO_TYPE("4kx9"),
183
    .C_PROG_EMPTY_THRESH_ASSERT_VAL(4096),
184
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
185
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
186
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
187
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
188
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
189
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
190
    .C_PROG_EMPTY_THRESH_NEGATE_VAL(4097),
191
    .C_PROG_EMPTY_TYPE(1),
192
    .C_PROG_EMPTY_TYPE_AXIS(5),
193
    .C_PROG_EMPTY_TYPE_RACH(5),
194
    .C_PROG_EMPTY_TYPE_RDCH(5),
195
    .C_PROG_EMPTY_TYPE_WACH(5),
196
    .C_PROG_EMPTY_TYPE_WDCH(5),
197
    .C_PROG_EMPTY_TYPE_WRCH(5),
198
    .C_PROG_FULL_THRESH_ASSERT_VAL(28671),
199
    .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
200
    .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
201
    .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
202
    .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
203
    .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
204
    .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
205
    .C_PROG_FULL_THRESH_NEGATE_VAL(28670),
206
    .C_PROG_FULL_TYPE(1),
207
    .C_PROG_FULL_TYPE_AXIS(5),
208
    .C_PROG_FULL_TYPE_RACH(5),
209
    .C_PROG_FULL_TYPE_RDCH(5),
210
    .C_PROG_FULL_TYPE_WACH(5),
211
    .C_PROG_FULL_TYPE_WDCH(5),
212
    .C_PROG_FULL_TYPE_WRCH(5),
213
    .C_RACH_TYPE(0),
214
    .C_RD_DATA_COUNT_WIDTH(15),
215
    .C_RD_DEPTH(32768),
216
    .C_RD_FREQ(1),
217
    .C_RD_PNTR_WIDTH(15),
218
    .C_RDCH_TYPE(0),
219
    .C_REG_SLICE_MODE_AXIS(0),
220
    .C_REG_SLICE_MODE_RACH(0),
221
    .C_REG_SLICE_MODE_RDCH(0),
222
    .C_REG_SLICE_MODE_WACH(0),
223
    .C_REG_SLICE_MODE_WDCH(0),
224
    .C_REG_SLICE_MODE_WRCH(0),
225
    .C_UNDERFLOW_LOW(0),
226
    .C_USE_COMMON_OVERFLOW(0),
227
    .C_USE_COMMON_UNDERFLOW(0),
228
    .C_USE_DEFAULT_SETTINGS(0),
229
    .C_USE_DOUT_RST(1),
230
    .C_USE_ECC(0),
231
    .C_USE_ECC_AXIS(0),
232
    .C_USE_ECC_RACH(0),
233
    .C_USE_ECC_RDCH(0),
234
    .C_USE_ECC_WACH(0),
235
    .C_USE_ECC_WDCH(0),
236
    .C_USE_ECC_WRCH(0),
237
    .C_USE_EMBEDDED_REG(0),
238
    .C_USE_FIFO16_FLAGS(0),
239
    .C_USE_FWFT_DATA_COUNT(0),
240
    .C_VALID_LOW(0),
241
    .C_WACH_TYPE(0),
242
    .C_WDCH_TYPE(0),
243
    .C_WR_ACK_LOW(0),
244
    .C_WR_DATA_COUNT_WIDTH(15),
245
    .C_WR_DEPTH(32768),
246
    .C_WR_DEPTH_AXIS(1024),
247
    .C_WR_DEPTH_RACH(16),
248
    .C_WR_DEPTH_RDCH(1024),
249
    .C_WR_DEPTH_WACH(16),
250
    .C_WR_DEPTH_WDCH(1024),
251
    .C_WR_DEPTH_WRCH(16),
252
    .C_WR_FREQ(1),
253
    .C_WR_PNTR_WIDTH(15),
254
    .C_WR_PNTR_WIDTH_AXIS(10),
255
    .C_WR_PNTR_WIDTH_RACH(4),
256
    .C_WR_PNTR_WIDTH_RDCH(10),
257
    .C_WR_PNTR_WIDTH_WACH(4),
258
    .C_WR_PNTR_WIDTH_WDCH(10),
259
    .C_WR_PNTR_WIDTH_WRCH(4),
260
    .C_WR_RESPONSE_LATENCY(1),
261
    .C_WRCH_TYPE(0)
262
  )
263
  inst (
264
    .RST(rst),
265
    .WR_CLK(wr_clk),
266
    .RD_CLK(rd_clk),
267
    .DIN(din),
268
    .WR_EN(wr_en),
269
    .RD_EN(rd_en),
270
    .DOUT(dout),
271
    .FULL(full),
272
    .EMPTY(empty),
273
    .VALID(valid),
274
    .RD_DATA_COUNT(rd_data_count),
275
    .WR_DATA_COUNT(wr_data_count),
276
    .PROG_FULL(prog_full),
277
    .PROG_EMPTY(prog_empty),
278
    .BACKUP(),
279
    .BACKUP_MARKER(),
280
    .CLK(),
281
    .SRST(),
282
    .WR_RST(),
283
    .RD_RST(),
284
    .PROG_EMPTY_THRESH(),
285
    .PROG_EMPTY_THRESH_ASSERT(),
286
    .PROG_EMPTY_THRESH_NEGATE(),
287
    .PROG_FULL_THRESH(),
288
    .PROG_FULL_THRESH_ASSERT(),
289
    .PROG_FULL_THRESH_NEGATE(),
290
    .INT_CLK(),
291
    .INJECTDBITERR(),
292
    .INJECTSBITERR(),
293
    .ALMOST_FULL(),
294
    .WR_ACK(),
295
    .OVERFLOW(),
296
    .ALMOST_EMPTY(),
297
    .UNDERFLOW(),
298
    .DATA_COUNT(),
299
    .SBITERR(),
300
    .DBITERR(),
301
    .M_ACLK(),
302
    .S_ACLK(),
303
    .S_ARESETN(),
304
    .M_ACLK_EN(),
305
    .S_ACLK_EN(),
306
    .S_AXI_AWID(),
307
    .S_AXI_AWADDR(),
308
    .S_AXI_AWLEN(),
309
    .S_AXI_AWSIZE(),
310
    .S_AXI_AWBURST(),
311
    .S_AXI_AWLOCK(),
312
    .S_AXI_AWCACHE(),
313
    .S_AXI_AWPROT(),
314
    .S_AXI_AWQOS(),
315
    .S_AXI_AWREGION(),
316
    .S_AXI_AWUSER(),
317
    .S_AXI_AWVALID(),
318
    .S_AXI_AWREADY(),
319
    .S_AXI_WID(),
320
    .S_AXI_WDATA(),
321
    .S_AXI_WSTRB(),
322
    .S_AXI_WLAST(),
323
    .S_AXI_WUSER(),
324
    .S_AXI_WVALID(),
325
    .S_AXI_WREADY(),
326
    .S_AXI_BID(),
327
    .S_AXI_BRESP(),
328
    .S_AXI_BUSER(),
329
    .S_AXI_BVALID(),
330
    .S_AXI_BREADY(),
331
    .M_AXI_AWID(),
332
    .M_AXI_AWADDR(),
333
    .M_AXI_AWLEN(),
334
    .M_AXI_AWSIZE(),
335
    .M_AXI_AWBURST(),
336
    .M_AXI_AWLOCK(),
337
    .M_AXI_AWCACHE(),
338
    .M_AXI_AWPROT(),
339
    .M_AXI_AWQOS(),
340
    .M_AXI_AWREGION(),
341
    .M_AXI_AWUSER(),
342
    .M_AXI_AWVALID(),
343
    .M_AXI_AWREADY(),
344
    .M_AXI_WID(),
345
    .M_AXI_WDATA(),
346
    .M_AXI_WSTRB(),
347
    .M_AXI_WLAST(),
348
    .M_AXI_WUSER(),
349
    .M_AXI_WVALID(),
350
    .M_AXI_WREADY(),
351
    .M_AXI_BID(),
352
    .M_AXI_BRESP(),
353
    .M_AXI_BUSER(),
354
    .M_AXI_BVALID(),
355
    .M_AXI_BREADY(),
356
    .S_AXI_ARID(),
357
    .S_AXI_ARADDR(),
358
    .S_AXI_ARLEN(),
359
    .S_AXI_ARSIZE(),
360
    .S_AXI_ARBURST(),
361
    .S_AXI_ARLOCK(),
362
    .S_AXI_ARCACHE(),
363
    .S_AXI_ARPROT(),
364
    .S_AXI_ARQOS(),
365
    .S_AXI_ARREGION(),
366
    .S_AXI_ARUSER(),
367
    .S_AXI_ARVALID(),
368
    .S_AXI_ARREADY(),
369
    .S_AXI_RID(),
370
    .S_AXI_RDATA(),
371
    .S_AXI_RRESP(),
372
    .S_AXI_RLAST(),
373
    .S_AXI_RUSER(),
374
    .S_AXI_RVALID(),
375
    .S_AXI_RREADY(),
376
    .M_AXI_ARID(),
377
    .M_AXI_ARADDR(),
378
    .M_AXI_ARLEN(),
379
    .M_AXI_ARSIZE(),
380
    .M_AXI_ARBURST(),
381
    .M_AXI_ARLOCK(),
382
    .M_AXI_ARCACHE(),
383
    .M_AXI_ARPROT(),
384
    .M_AXI_ARQOS(),
385
    .M_AXI_ARREGION(),
386
    .M_AXI_ARUSER(),
387
    .M_AXI_ARVALID(),
388
    .M_AXI_ARREADY(),
389
    .M_AXI_RID(),
390
    .M_AXI_RDATA(),
391
    .M_AXI_RRESP(),
392
    .M_AXI_RLAST(),
393
    .M_AXI_RUSER(),
394
    .M_AXI_RVALID(),
395
    .M_AXI_RREADY(),
396
    .S_AXIS_TVALID(),
397
    .S_AXIS_TREADY(),
398
    .S_AXIS_TDATA(),
399
    .S_AXIS_TSTRB(),
400
    .S_AXIS_TKEEP(),
401
    .S_AXIS_TLAST(),
402
    .S_AXIS_TID(),
403
    .S_AXIS_TDEST(),
404
    .S_AXIS_TUSER(),
405
    .M_AXIS_TVALID(),
406
    .M_AXIS_TREADY(),
407
    .M_AXIS_TDATA(),
408
    .M_AXIS_TSTRB(),
409
    .M_AXIS_TKEEP(),
410
    .M_AXIS_TLAST(),
411
    .M_AXIS_TID(),
412
    .M_AXIS_TDEST(),
413
    .M_AXIS_TUSER(),
414
    .AXI_AW_INJECTSBITERR(),
415
    .AXI_AW_INJECTDBITERR(),
416
    .AXI_AW_PROG_FULL_THRESH(),
417
    .AXI_AW_PROG_EMPTY_THRESH(),
418
    .AXI_AW_DATA_COUNT(),
419
    .AXI_AW_WR_DATA_COUNT(),
420
    .AXI_AW_RD_DATA_COUNT(),
421
    .AXI_AW_SBITERR(),
422
    .AXI_AW_DBITERR(),
423
    .AXI_AW_OVERFLOW(),
424
    .AXI_AW_UNDERFLOW(),
425
    .AXI_W_INJECTSBITERR(),
426
    .AXI_W_INJECTDBITERR(),
427
    .AXI_W_PROG_FULL_THRESH(),
428
    .AXI_W_PROG_EMPTY_THRESH(),
429
    .AXI_W_DATA_COUNT(),
430
    .AXI_W_WR_DATA_COUNT(),
431
    .AXI_W_RD_DATA_COUNT(),
432
    .AXI_W_SBITERR(),
433
    .AXI_W_DBITERR(),
434
    .AXI_W_OVERFLOW(),
435
    .AXI_W_UNDERFLOW(),
436
    .AXI_B_INJECTSBITERR(),
437
    .AXI_B_INJECTDBITERR(),
438
    .AXI_B_PROG_FULL_THRESH(),
439
    .AXI_B_PROG_EMPTY_THRESH(),
440
    .AXI_B_DATA_COUNT(),
441
    .AXI_B_WR_DATA_COUNT(),
442
    .AXI_B_RD_DATA_COUNT(),
443
    .AXI_B_SBITERR(),
444
    .AXI_B_DBITERR(),
445
    .AXI_B_OVERFLOW(),
446
    .AXI_B_UNDERFLOW(),
447
    .AXI_AR_INJECTSBITERR(),
448
    .AXI_AR_INJECTDBITERR(),
449
    .AXI_AR_PROG_FULL_THRESH(),
450
    .AXI_AR_PROG_EMPTY_THRESH(),
451
    .AXI_AR_DATA_COUNT(),
452
    .AXI_AR_WR_DATA_COUNT(),
453
    .AXI_AR_RD_DATA_COUNT(),
454
    .AXI_AR_SBITERR(),
455
    .AXI_AR_DBITERR(),
456
    .AXI_AR_OVERFLOW(),
457
    .AXI_AR_UNDERFLOW(),
458
    .AXI_R_INJECTSBITERR(),
459
    .AXI_R_INJECTDBITERR(),
460
    .AXI_R_PROG_FULL_THRESH(),
461
    .AXI_R_PROG_EMPTY_THRESH(),
462
    .AXI_R_DATA_COUNT(),
463
    .AXI_R_WR_DATA_COUNT(),
464
    .AXI_R_RD_DATA_COUNT(),
465
    .AXI_R_SBITERR(),
466
    .AXI_R_DBITERR(),
467
    .AXI_R_OVERFLOW(),
468
    .AXI_R_UNDERFLOW(),
469
    .AXIS_INJECTSBITERR(),
470
    .AXIS_INJECTDBITERR(),
471
    .AXIS_PROG_FULL_THRESH(),
472
    .AXIS_PROG_EMPTY_THRESH(),
473
    .AXIS_DATA_COUNT(),
474
    .AXIS_WR_DATA_COUNT(),
475
    .AXIS_RD_DATA_COUNT(),
476
    .AXIS_SBITERR(),
477
    .AXIS_DBITERR(),
478
    .AXIS_OVERFLOW(),
479
    .AXIS_UNDERFLOW()
480
  );
481
 
482
// synthesis translate_on
483
 
484
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.