OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_eb_fifo_counted_new.vho] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
--------------------------------------------------------------------------------
2
--    This file is owned and controlled by Xilinx and must be used solely     --
3
--    for design, simulation, implementation and creation of design files     --
4
--    limited to Xilinx devices or technologies. Use with non-Xilinx          --
5
--    devices or technologies is expressly prohibited and immediately         --
6
--    terminates your license.                                                --
7
--                                                                            --
8
--    XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY    --
9
--    FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY    --
10
--    PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE             --
11
--    IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS      --
12
--    MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY      --
13
--    CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY       --
14
--    RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY       --
15
--    DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE   --
16
--    IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR          --
17
--    REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF         --
18
--    INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A   --
19
--    PARTICULAR PURPOSE.                                                     --
20
--                                                                            --
21
--    Xilinx products are not intended for use in life support appliances,    --
22
--    devices, or systems.  Use in such applications are expressly            --
23
--    prohibited.                                                             --
24
--                                                                            --
25
--    (c) Copyright 1995-2012 Xilinx, Inc.                                    --
26
--    All rights reserved.                                                    --
27
--------------------------------------------------------------------------------
28
 
29
--------------------------------------------------------------------------------
30
--    Generated from core with identifier: xilinx.com:ip:fifo_generator:8.3   --
31
--                                                                            --
32
--    The FIFO Generator is a parameterizable first-in/first-out memory       --
33
--    queue generator. Use it to generate resource and performance            --
34
--    optimized FIFOs with common or independent read/write clock domains,    --
35
--    and optional fixed or programmable full and empty flags and             --
36
--    handshaking signals.  Choose from a selection of memory resource        --
37
--    types for implementation.  Optional Hamming code based error            --
38
--    detection and correction as well as error injection capability for      --
39
--    system test help to insure data integrity.  FIFO width and depth are    --
40
--    parameterizable, and for native interface FIFOs, asymmetric read and    --
41
--    write port widths are also supported.                                   --
42
--------------------------------------------------------------------------------
43
 
44
-- Interfaces:
45
--    AXI4Stream_MASTER_M_AXIS
46
--    AXI4Stream_SLAVE_S_AXIS
47
--    AXI4_MASTER_M_AXI
48
--    AXI4_SLAVE_S_AXI
49
--    AXI4Lite_MASTER_M_AXI
50
--    AXI4Lite_SLAVE_S_AXI
51
 
52
-- The following code must appear in the VHDL architecture header:
53
 
54
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
55
COMPONENT v6_eb_fifo_counted_new
56
  PORT (
57
    rst : IN STD_LOGIC;
58
    wr_clk : IN STD_LOGIC;
59
    rd_clk : IN STD_LOGIC;
60
    din : IN STD_LOGIC_VECTOR(71 DOWNTO 0);
61
    wr_en : IN STD_LOGIC;
62
    rd_en : IN STD_LOGIC;
63
    dout : OUT STD_LOGIC_VECTOR(71 DOWNTO 0);
64
    full : OUT STD_LOGIC;
65
    empty : OUT STD_LOGIC;
66
    valid : OUT STD_LOGIC;
67
    rd_data_count : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
68
    wr_data_count : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
69
    prog_full : OUT STD_LOGIC;
70
    prog_empty : OUT STD_LOGIC
71
  );
72
END COMPONENT;
73
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
74
 
75
-- The following code must appear in the VHDL architecture
76
-- body. Substitute your own instance name and net names.
77
 
78
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
79
your_instance_name : v6_eb_fifo_counted_new
80
  PORT MAP (
81
    rst => rst,
82
    wr_clk => wr_clk,
83
    rd_clk => rd_clk,
84
    din => din,
85
    wr_en => wr_en,
86
    rd_en => rd_en,
87
    dout => dout,
88
    full => full,
89
    empty => empty,
90
    valid => valid,
91
    rd_data_count => rd_data_count,
92
    wr_data_count => wr_data_count,
93
    prog_full => prog_full,
94
    prog_empty => prog_empty
95
  );
96
-- INST_TAG_END ------ End INSTANTIATION Template ------------
97
 
98
-- You must compile the wrapper file v6_eb_fifo_counted_new.vhd when simulating
99
-- the core, v6_eb_fifo_counted_new. When compiling the wrapper file, be sure to
100
-- reference the XilinxCoreLib VHDL simulation library. For detailed
101
-- instructions, please refer to the "CORE Generator Help".
102
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.