OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_6/] [example_design/] [xilinx_pcie_2_0_ep_v6.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information
6
// of Xilinx, Inc. and is protected under U.S. and
7
// international copyright and other intellectual property
8
// laws.
9
//
10
// DISCLAIMER
11
// This disclaimer is not a license and does not grant any
12
// rights to the materials distributed herewith. Except as
13
// otherwise provided in a valid license issued to you by
14
// Xilinx, and to the maximum extent permitted by applicable
15
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
// (2) Xilinx shall not be liable (whether in contract or tort,
21
// including negligence, or under any other theory of
22
// liability) for any loss or damage of any kind or nature
23
// related to, arising under or in connection with these
24
// materials, including for any direct, or any indirect,
25
// special, incidental, or consequential loss or damage
26
// (including loss of data, profits, goodwill, or any type of
27
// loss or damage suffered as a result of any action brought
28
// by a third party) even if such damage or loss was
29
// reasonably foreseeable or Xilinx had been advised of the
30
// possibility of the same.
31
//
32
// CRITICAL APPLICATIONS
33
// Xilinx products are not designed or intended to be fail-
34
// safe, or for use in any application requiring fail-safe
35
// performance, such as life-support or safety devices or
36
// systems, Class III medical devices, nuclear facilities,
37
// applications related to the deployment of airbags, or any
38
// other applications that could lead to death, personal
39
// injury, or severe property or environmental damage
40
// (individually and collectively, "Critical
41
// Applications"). Customer assumes the sole risk and
42
// liability of any use of Xilinx products in Critical
43
// Applications, subject only to applicable laws and
44
// regulations governing limitations on product liability.
45
//
46
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
// PART OF THIS FILE AT ALL TIMES.
48
//
49
//-----------------------------------------------------------------------------
50
// Project    : Virtex-6 Integrated Block for PCI Express
51
// File       : xilinx_pcie_2_0_ep_v6.v
52
// Version    : 1.7
53
//--
54
//-- Description:  PCI Express Endpoint example FPGA design
55
//--
56
//------------------------------------------------------------------------------
57
 
58
`timescale 1ns / 1ps
59
 
60
module xilinx_pcie_2_0_ep_v6 # (
61
  parameter        PL_FAST_TRAIN                = "FALSE"
62
)
63
(
64
  output  [3:0]    pci_exp_txp,
65
  output  [3:0]    pci_exp_txn,
66
  input   [3:0]    pci_exp_rxp,
67
  input   [3:0]    pci_exp_rxn,
68
 
69
`ifdef ENABLE_LEDS
70
  output                                       led_0,
71
  output                                       led_1,
72
  output                                       led_2,
73
`endif
74
  input                                        sys_clk_p,
75
  input                                        sys_clk_n,
76
  input                                        sys_reset_n
77
);
78
 
79
 
80
  wire                                        trn_clk;
81
  wire                                        trn_reset_n;
82
  wire                                        trn_lnk_up_n;
83
 
84
  // Tx
85
  wire  [5:0]                                 trn_tbuf_av;
86
  wire                                        trn_tcfg_req_n;
87
  wire                                        trn_terr_drop_n;
88
  wire                                        trn_tdst_rdy_n;
89
  wire [63:0]                                 trn_td;
90
  wire                                        trn_trem_n;
91
  wire                                        trn_tsof_n;
92
  wire                                        trn_teof_n;
93
  wire                                        trn_tsrc_rdy_n;
94
  wire                                        trn_tsrc_dsc_n;
95
  wire                                        trn_terrfwd_n;
96
  wire                                        trn_tcfg_gnt_n;
97
  wire                                        trn_tstr_n;
98
 
99
  // Rx
100
  wire [63:0]                                 trn_rd;
101
  wire                                        trn_rrem_n;
102
  wire                                        trn_rsof_n;
103
  wire                                        trn_reof_n;
104
  wire                                        trn_rsrc_rdy_n;
105
  wire                                        trn_rsrc_dsc_n;
106
  wire                                        trn_rerrfwd_n;
107
  wire  [6:0]                                 trn_rbar_hit_n;
108
  wire                                        trn_rdst_rdy_n;
109
  wire                                        trn_rnp_ok_n;
110
 
111
  // Flow Control
112
  wire [11:0]                                 trn_fc_cpld;
113
  wire [7:0]                                  trn_fc_cplh;
114
  wire [11:0]                                 trn_fc_npd;
115
  wire [7:0]                                  trn_fc_nph;
116
  wire [11:0]                                 trn_fc_pd;
117
  wire [7:0]                                  trn_fc_ph;
118
  wire  [2:0]                                 trn_fc_sel;
119
 
120
 
121
  //-------------------------------------------------------
122
  // 3. Configuration (CFG) Interface
123
  //-------------------------------------------------------
124
 
125
  wire [31:0]                                 cfg_do;
126
  wire                                        cfg_rd_wr_done_n;
127
  wire  [31:0]                                cfg_di;
128
  wire   [3:0]                                cfg_byte_en_n;
129
  wire   [9:0]                                cfg_dwaddr;
130
  wire                                        cfg_wr_en_n;
131
  wire                                        cfg_rd_en_n;
132
 
133
  wire                                        cfg_err_cor_n;
134
  wire                                        cfg_err_ur_n;
135
  wire                                        cfg_err_ecrc_n;
136
  wire                                        cfg_err_cpl_timeout_n;
137
  wire                                        cfg_err_cpl_abort_n;
138
  wire                                        cfg_err_cpl_unexpect_n;
139
  wire                                        cfg_err_posted_n;
140
  wire                                        cfg_err_locked_n;
141
  wire  [47:0]                                cfg_err_tlp_cpl_header;
142
  wire                                        cfg_err_cpl_rdy_n;
143
  wire                                        cfg_interrupt_n;
144
  wire                                        cfg_interrupt_rdy_n;
145
  wire                                        cfg_interrupt_assert_n;
146
  wire  [7:0]                                 cfg_interrupt_di;
147
  wire [7:0]                                  cfg_interrupt_do;
148
  wire [2:0]                                  cfg_interrupt_mmenable;
149
  wire                                        cfg_interrupt_msienable;
150
  wire                                        cfg_interrupt_msixenable;
151
  wire                                        cfg_interrupt_msixfm;
152
  wire                                        cfg_turnoff_ok_n;
153
  wire                                        cfg_to_turnoff_n;
154
  wire                                        cfg_trn_pending_n;
155
  wire                                        cfg_pm_wake_n;
156
  wire  [7:0]                                 cfg_bus_number;
157
  wire  [4:0]                                 cfg_device_number;
158
  wire  [2:0]                                 cfg_function_number;
159
  wire [15:0]                                 cfg_status;
160
  wire [15:0]                                 cfg_command;
161
  wire [15:0]                                 cfg_dstatus;
162
  wire [15:0]                                 cfg_dcommand;
163
  wire [15:0]                                 cfg_lstatus;
164
  wire [15:0]                                 cfg_lcommand;
165
  wire [15:0]                                 cfg_dcommand2;
166
  wire  [2:0]                                 cfg_pcie_link_state_n;
167
  wire  [63:0]                                cfg_dsn;
168
 
169
  //-------------------------------------------------------
170
  // 4. Physical Layer Control and Status (PL) Interface
171
  //-------------------------------------------------------
172
 
173
  wire [2:0]                                  pl_initial_link_width;
174
  wire [1:0]                                  pl_lane_reversal_mode;
175
  wire                                        pl_link_gen2_capable;
176
  wire                                        pl_link_partner_gen2_supported;
177
  wire                                        pl_link_upcfg_capable;
178
  wire [5:0]                                  pl_ltssm_state;
179
  wire                                        pl_received_hot_rst;
180
  wire                                        pl_sel_link_rate;
181
  wire [1:0]                                  pl_sel_link_width;
182
  wire                                        pl_directed_link_auton;
183
  wire  [1:0]                                 pl_directed_link_change;
184
  wire                                        pl_directed_link_speed;
185
  wire  [1:0]                                 pl_directed_link_width;
186
  wire                                        pl_upstream_prefer_deemph;
187
 
188
  wire                                        sys_clk_c;
189
  wire                                        sys_reset_n_c;
190
 
191
  //-------------------------------------------------------
192
 
193
IBUFDS_GTXE1 refclk_ibuf (.O(sys_clk_c), .ODIV2(), .I(sys_clk_p), .IB(sys_clk_n), .CEB(1'b0));
194
 
195
IBUF   sys_reset_n_ibuf (.O(sys_reset_n_c), .I(sys_reset_n));
196
`ifdef ENABLE_LEDS
197
   OBUF   led_0_obuf (.O(led_0), .I(sys_reset_n_c));
198
   OBUF   led_1_obuf (.O(led_1), .I(trn_reset_n));
199
   OBUF   led_2_obuf (.O(led_2), .I(trn_lnk_up_n));
200
`endif
201
 
202
FDCP #(
203
 
204
  .INIT(1'b1)
205
 
206
) trn_lnk_up_n_int_i (
207
 
208
  .Q (trn_lnk_up_n),
209
  .D (trn_lnk_up_n_int1),
210
  .C (trn_clk),
211
  .CLR (1'b0),
212
  .PRE (1'b0)
213
 
214
);
215
 
216
FDCP #(
217
 
218
  .INIT(1'b1)
219
 
220
) trn_reset_n_i (
221
 
222
  .Q (trn_reset_n),
223
  .D (trn_reset_n_int1),
224
  .C (trn_clk),
225
  .CLR (1'b0),
226
  .PRE (1'b0)
227
 
228
);
229
 
230
`ifdef SIMULATION
231
v6_pcie_v1_6 #(
232
  .PL_FAST_TRAIN                        ( PL_FAST_TRAIN )
233
)
234
core (
235
`else
236
v6_pcie_v1_6
237
core (
238
`endif
239
 
240
  //-------------------------------------------------------
241
  // 1. PCI Express (pci_exp) Interface
242
  //-------------------------------------------------------
243
 
244
  // Tx
245
  .pci_exp_txp( pci_exp_txp ),
246
  .pci_exp_txn( pci_exp_txn ),
247
 
248
  // Rx
249
  .pci_exp_rxp( pci_exp_rxp ),
250
  .pci_exp_rxn( pci_exp_rxn ),
251
 
252
  //-------------------------------------------------------
253
  // 2. Transaction (TRN) Interface
254
  //-------------------------------------------------------
255
 
256
  // Common
257
  .trn_clk( trn_clk ),
258
  .trn_reset_n( trn_reset_n_int1 ),
259
  .trn_lnk_up_n( trn_lnk_up_n_int1 ),
260
 
261
  // Tx
262
  .trn_tbuf_av( trn_tbuf_av ),
263
  .trn_tcfg_req_n( trn_tcfg_req_n ),
264
  .trn_terr_drop_n( trn_terr_drop_n ),
265
  .trn_tdst_rdy_n( trn_tdst_rdy_n ),
266
  .trn_td( trn_td ),
267
  .trn_trem_n( trn_trem_n ),
268
  .trn_tsof_n( trn_tsof_n ),
269
  .trn_teof_n( trn_teof_n ),
270
  .trn_tsrc_rdy_n( trn_tsrc_rdy_n ),
271
  .trn_tsrc_dsc_n( trn_tsrc_dsc_n ),
272
  .trn_terrfwd_n( trn_terrfwd_n ),
273
  .trn_tcfg_gnt_n( trn_tcfg_gnt_n ),
274
  .trn_tstr_n( trn_tstr_n ),
275
 
276
  // Rx
277
  .trn_rd( trn_rd ),
278
  .trn_rrem_n( trn_rrem_n ),
279
  .trn_rsof_n( trn_rsof_n ),
280
  .trn_reof_n( trn_reof_n ),
281
  .trn_rsrc_rdy_n( trn_rsrc_rdy_n ),
282
  .trn_rsrc_dsc_n( trn_rsrc_dsc_n ),
283
  .trn_rerrfwd_n( trn_rerrfwd_n ),
284
  .trn_rbar_hit_n( trn_rbar_hit_n ),
285
  .trn_rdst_rdy_n( trn_rdst_rdy_n ),
286
  .trn_rnp_ok_n( trn_rnp_ok_n ),
287
 
288
  // Flow Control
289
  .trn_fc_cpld( trn_fc_cpld ),
290
  .trn_fc_cplh( trn_fc_cplh ),
291
  .trn_fc_npd( trn_fc_npd ),
292
  .trn_fc_nph( trn_fc_nph ),
293
  .trn_fc_pd( trn_fc_pd ),
294
  .trn_fc_ph( trn_fc_ph ),
295
  .trn_fc_sel( trn_fc_sel ),
296
 
297
 
298
  //-------------------------------------------------------
299
  // 3. Configuration (CFG) Interface
300
  //-------------------------------------------------------
301
 
302
  .cfg_do( cfg_do ),
303
  .cfg_rd_wr_done_n( cfg_rd_wr_done_n),
304
  .cfg_di( cfg_di ),
305
  .cfg_byte_en_n( cfg_byte_en_n ),
306
  .cfg_dwaddr( cfg_dwaddr ),
307
  .cfg_wr_en_n( cfg_wr_en_n ),
308
  .cfg_rd_en_n( cfg_rd_en_n ),
309
 
310
  .cfg_err_cor_n( cfg_err_cor_n ),
311
  .cfg_err_ur_n( cfg_err_ur_n ),
312
  .cfg_err_ecrc_n( cfg_err_ecrc_n ),
313
  .cfg_err_cpl_timeout_n( cfg_err_cpl_timeout_n ),
314
  .cfg_err_cpl_abort_n( cfg_err_cpl_abort_n ),
315
  .cfg_err_cpl_unexpect_n( cfg_err_cpl_unexpect_n ),
316
  .cfg_err_posted_n( cfg_err_posted_n ),
317
  .cfg_err_locked_n( cfg_err_locked_n ),
318
  .cfg_err_tlp_cpl_header( cfg_err_tlp_cpl_header ),
319
  .cfg_err_cpl_rdy_n( cfg_err_cpl_rdy_n ),
320
  .cfg_interrupt_n( cfg_interrupt_n ),
321
  .cfg_interrupt_rdy_n( cfg_interrupt_rdy_n ),
322
  .cfg_interrupt_assert_n( cfg_interrupt_assert_n ),
323
  .cfg_interrupt_di( cfg_interrupt_di ),
324
  .cfg_interrupt_do( cfg_interrupt_do ),
325
  .cfg_interrupt_mmenable( cfg_interrupt_mmenable ),
326
  .cfg_interrupt_msienable( cfg_interrupt_msienable ),
327
  .cfg_interrupt_msixenable( cfg_interrupt_msixenable ),
328
  .cfg_interrupt_msixfm( cfg_interrupt_msixfm ),
329
  .cfg_turnoff_ok_n( cfg_turnoff_ok_n ),
330
  .cfg_to_turnoff_n( cfg_to_turnoff_n ),
331
  .cfg_trn_pending_n( cfg_trn_pending_n ),
332
  .cfg_pm_wake_n( cfg_pm_wake_n ),
333
  .cfg_bus_number( cfg_bus_number ),
334
  .cfg_device_number( cfg_device_number ),
335
  .cfg_function_number( cfg_function_number ),
336
  .cfg_status( cfg_status ),
337
  .cfg_command( cfg_command ),
338
  .cfg_dstatus( cfg_dstatus ),
339
  .cfg_dcommand( cfg_dcommand ),
340
  .cfg_lstatus( cfg_lstatus ),
341
  .cfg_lcommand( cfg_lcommand ),
342
  .cfg_dcommand2( cfg_dcommand2 ),
343
  .cfg_pcie_link_state_n( cfg_pcie_link_state_n ),
344
  .cfg_dsn( cfg_dsn ),
345
  .cfg_pmcsr_pme_en( ),
346
  .cfg_pmcsr_pme_status( ),
347
  .cfg_pmcsr_powerstate( ),
348
 
349
  //-------------------------------------------------------
350
  // 4. Physical Layer Control and Status (PL) Interface
351
  //-------------------------------------------------------
352
 
353
  .pl_initial_link_width( pl_initial_link_width ),
354
  .pl_lane_reversal_mode( pl_lane_reversal_mode ),
355
  .pl_link_gen2_capable( pl_link_gen2_capable ),
356
  .pl_link_partner_gen2_supported( pl_link_partner_gen2_supported ),
357
  .pl_link_upcfg_capable( pl_link_upcfg_capable ),
358
  .pl_ltssm_state( pl_ltssm_state ),
359
  .pl_received_hot_rst( pl_received_hot_rst ),
360
  .pl_sel_link_rate( pl_sel_link_rate ),
361
  .pl_sel_link_width( pl_sel_link_width ),
362
  .pl_directed_link_auton( pl_directed_link_auton ),
363
  .pl_directed_link_change( pl_directed_link_change ),
364
  .pl_directed_link_speed( pl_directed_link_speed ),
365
  .pl_directed_link_width( pl_directed_link_width ),
366
  .pl_upstream_prefer_deemph( pl_upstream_prefer_deemph ),
367
 
368
  //-------------------------------------------------------
369
  // 5. System  (SYS) Interface
370
  //-------------------------------------------------------
371
 
372
  .sys_clk( sys_clk_c ),
373
  .sys_reset_n( sys_reset_n_c )
374
 
375
);
376
 
377
 
378
pcie_app_v6 app (
379
 
380
  //-------------------------------------------------------
381
  // 1. Transaction (TRN) Interface
382
  //-------------------------------------------------------
383
 
384
  // Common
385
  .trn_clk( trn_clk ),
386
  .trn_reset_n( trn_reset_n_int1 ),
387
  .trn_lnk_up_n( trn_lnk_up_n_int1 ),
388
 
389
  // Tx
390
  .trn_tbuf_av( trn_tbuf_av ),
391
  .trn_tcfg_req_n( trn_tcfg_req_n ),
392
  .trn_terr_drop_n( trn_terr_drop_n ),
393
  .trn_tdst_rdy_n( trn_tdst_rdy_n ),
394
  .trn_td( trn_td ),
395
  .trn_trem_n( trn_trem_n ),
396
  .trn_tsof_n( trn_tsof_n ),
397
  .trn_teof_n( trn_teof_n ),
398
  .trn_tsrc_rdy_n( trn_tsrc_rdy_n ),
399
  .trn_tsrc_dsc_n( trn_tsrc_dsc_n ),
400
  .trn_terrfwd_n( trn_terrfwd_n ),
401
  .trn_tcfg_gnt_n( trn_tcfg_gnt_n ),
402
  .trn_tstr_n( trn_tstr_n ),
403
 
404
  // Rx
405
  .trn_rd( trn_rd ),
406
  .trn_rrem_n( trn_rrem_n ),
407
  .trn_rsof_n( trn_rsof_n ),
408
  .trn_reof_n( trn_reof_n ),
409
  .trn_rsrc_rdy_n( trn_rsrc_rdy_n ),
410
  .trn_rsrc_dsc_n( trn_rsrc_dsc_n ),
411
  .trn_rerrfwd_n( trn_rerrfwd_n ),
412
  .trn_rbar_hit_n( trn_rbar_hit_n ),
413
  .trn_rdst_rdy_n( trn_rdst_rdy_n ),
414
  .trn_rnp_ok_n( trn_rnp_ok_n ),
415
 
416
  // Flow Control
417
  .trn_fc_cpld( trn_fc_cpld ),
418
  .trn_fc_cplh( trn_fc_cplh ),
419
  .trn_fc_npd( trn_fc_npd ),
420
  .trn_fc_nph( trn_fc_nph ),
421
  .trn_fc_pd( trn_fc_pd ),
422
  .trn_fc_ph( trn_fc_ph ),
423
  .trn_fc_sel( trn_fc_sel ),
424
 
425
 
426
  //-------------------------------------------------------
427
  // 2. Configuration (CFG) Interface
428
  //-------------------------------------------------------
429
 
430
  .cfg_do( cfg_do ),
431
  .cfg_rd_wr_done_n( cfg_rd_wr_done_n),
432
  .cfg_di( cfg_di ),
433
  .cfg_byte_en_n( cfg_byte_en_n ),
434
  .cfg_dwaddr( cfg_dwaddr ),
435
  .cfg_wr_en_n( cfg_wr_en_n ),
436
  .cfg_rd_en_n( cfg_rd_en_n ),
437
 
438
  .cfg_err_cor_n( cfg_err_cor_n ),
439
  .cfg_err_ur_n( cfg_err_ur_n ),
440
  .cfg_err_ecrc_n( cfg_err_ecrc_n ),
441
  .cfg_err_cpl_timeout_n( cfg_err_cpl_timeout_n ),
442
  .cfg_err_cpl_abort_n( cfg_err_cpl_abort_n ),
443
  .cfg_err_cpl_unexpect_n( cfg_err_cpl_unexpect_n ),
444
  .cfg_err_posted_n( cfg_err_posted_n ),
445
  .cfg_err_locked_n( cfg_err_locked_n ),
446
  .cfg_err_tlp_cpl_header( cfg_err_tlp_cpl_header ),
447
  .cfg_err_cpl_rdy_n( cfg_err_cpl_rdy_n ),
448
  .cfg_interrupt_n( cfg_interrupt_n ),
449
  .cfg_interrupt_rdy_n( cfg_interrupt_rdy_n ),
450
  .cfg_interrupt_assert_n( cfg_interrupt_assert_n ),
451
  .cfg_interrupt_di( cfg_interrupt_di ),
452
  .cfg_interrupt_do( cfg_interrupt_do ),
453
  .cfg_interrupt_mmenable( cfg_interrupt_mmenable ),
454
  .cfg_interrupt_msienable( cfg_interrupt_msienable ),
455
  .cfg_interrupt_msixenable( cfg_interrupt_msixenable ),
456
  .cfg_interrupt_msixfm( cfg_interrupt_msixfm ),
457
  .cfg_turnoff_ok_n( cfg_turnoff_ok_n ),
458
  .cfg_to_turnoff_n( cfg_to_turnoff_n ),
459
  .cfg_trn_pending_n( cfg_trn_pending_n ),
460
  .cfg_pm_wake_n( cfg_pm_wake_n ),
461
  .cfg_bus_number( cfg_bus_number ),
462
  .cfg_device_number( cfg_device_number ),
463
  .cfg_function_number( cfg_function_number ),
464
  .cfg_status( cfg_status ),
465
  .cfg_command( cfg_command ),
466
  .cfg_dstatus( cfg_dstatus ),
467
  .cfg_dcommand( cfg_dcommand ),
468
  .cfg_lstatus( cfg_lstatus ),
469
  .cfg_lcommand( cfg_lcommand ),
470
  .cfg_dcommand2( cfg_dcommand2 ),
471
  .cfg_pcie_link_state_n( cfg_pcie_link_state_n ),
472
  .cfg_dsn( cfg_dsn ),
473
 
474
  //-------------------------------------------------------
475
  // 3. Physical Layer Control and Status (PL) Interface
476
  //-------------------------------------------------------
477
 
478
  .pl_initial_link_width( pl_initial_link_width ),
479
  .pl_lane_reversal_mode( pl_lane_reversal_mode ),
480
  .pl_link_gen2_capable( pl_link_gen2_capable ),
481
  .pl_link_partner_gen2_supported( pl_link_partner_gen2_supported ),
482
  .pl_link_upcfg_capable( pl_link_upcfg_capable ),
483
  .pl_ltssm_state( pl_ltssm_state ),
484
  .pl_received_hot_rst( pl_received_hot_rst ),
485
  .pl_sel_link_rate( pl_sel_link_rate ),
486
  .pl_sel_link_width( pl_sel_link_width ),
487
  .pl_directed_link_auton( pl_directed_link_auton ),
488
  .pl_directed_link_change( pl_directed_link_change ),
489
  .pl_directed_link_speed( pl_directed_link_speed ),
490
  .pl_directed_link_width( pl_directed_link_width ),
491
  .pl_upstream_prefer_deemph( pl_upstream_prefer_deemph )
492
 
493
);
494
 
495
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.