OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_6/] [simulation/] [functional/] [board_common.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
 
2
//-----------------------------------------------------------------------------
3
//
4
// (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
5
//
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
//
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
//
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
//
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
//
50
//-----------------------------------------------------------------------------
51
// Project    : Virtex-6 Integrated Block for PCI Express
52
// File       : board_common.v
53
// Version    : 1.7
54
//--
55
//--------------------------------------------------------------------------------
56
 
57
`timescale 1ns/1ns
58
 
59
`define IO_TRUE                      1
60
`define IO_FALSE                     0
61
 
62
`define TX_TASKS                     board.RP.tx_usrapp
63
 
64
// Endpoint Sys clock clock frequency 100 MHz -> half clock -> 5000 pS
65
`define SYS_CLK_COR_HALF_CLK_PERIOD         5000
66
 
67
// Downstrean Port Sys clock clock frequency 250 MHz -> half clock -> 2000 pS
68
`define SYS_CLK_DSPORT_HALF_CLK_PERIOD      2000
69
 
70
`define RX_LOG                       0
71
`define TX_LOG                       1
72
 
73
// PCI Express TLP Types constants
74
`define  PCI_EXP_MEM_READ32          7'b0000000
75
`define  PCI_EXP_IO_READ             7'b0000010
76
`define  PCI_EXP_CFG_READ0           7'b0000100
77
`define  PCI_EXP_COMPLETION_WO_DATA  7'b0001010
78
`define  PCI_EXP_MEM_READ64          7'b0100000
79
`define  PCI_EXP_MSG_NODATA          7'b0110xxx
80
`define  PCI_EXP_MEM_WRITE32         7'b1000000
81
`define  PCI_EXP_IO_WRITE            7'b1000010
82
`define  PCI_EXP_CFG_WRITE0          7'b1000100
83
`define  PCI_EXP_COMPLETION_DATA     7'b1001010
84
`define  PCI_EXP_MEM_WRITE64         7'b1100000
85
`define  PCI_EXP_MSG_DATA            7'b1110xxx
86
 
87
`define  TRN_RX_TIMEOUT              5000

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.