OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_6/] [source/] [pcie_upconfig_fix_3451_v6.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information
6
// of Xilinx, Inc. and is protected under U.S. and
7
// international copyright and other intellectual property
8
// laws.
9
//
10
// DISCLAIMER
11
// This disclaimer is not a license and does not grant any
12
// rights to the materials distributed herewith. Except as
13
// otherwise provided in a valid license issued to you by
14
// Xilinx, and to the maximum extent permitted by applicable
15
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
// (2) Xilinx shall not be liable (whether in contract or tort,
21
// including negligence, or under any other theory of
22
// liability) for any loss or damage of any kind or nature
23
// related to, arising under or in connection with these
24
// materials, including for any direct, or any indirect,
25
// special, incidental, or consequential loss or damage
26
// (including loss of data, profits, goodwill, or any type of
27
// loss or damage suffered as a result of any action brought
28
// by a third party) even if such damage or loss was
29
// reasonably foreseeable or Xilinx had been advised of the
30
// possibility of the same.
31
//
32
// CRITICAL APPLICATIONS
33
// Xilinx products are not designed or intended to be fail-
34
// safe, or for use in any application requiring fail-safe
35
// performance, such as life-support or safety devices or
36
// systems, Class III medical devices, nuclear facilities,
37
// applications related to the deployment of airbags, or any
38
// other applications that could lead to death, personal
39
// injury, or severe property or environmental damage
40
// (individually and collectively, "Critical
41
// Applications"). Customer assumes the sole risk and
42
// liability of any use of Xilinx products in Critical
43
// Applications, subject only to applicable laws and
44
// regulations governing limitations on product liability.
45
//
46
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
// PART OF THIS FILE AT ALL TIMES.
48
//
49
//-----------------------------------------------------------------------------
50
// Project    : Virtex-6 Integrated Block for PCI Express
51
// File       : pcie_upconfig_fix_3451_v6.v
52
// Version    : 1.7
53
//--
54
//-- Description: Virtex6 Workaround for Root Port Upconfigurability Bug
55
//--
56
//--
57
//--------------------------------------------------------------------------------
58
 
59
`timescale 1ns/1ns
60
 
61
module pcie_upconfig_fix_3451_v6 # (
62
 
63
  parameter                                     UPSTREAM_FACING = "TRUE",
64
  parameter                                     PL_FAST_TRAIN = "FALSE",
65
  parameter                                     LINK_CAP_MAX_LINK_WIDTH = 6'h08
66
 
67
)
68
(
69
 
70
  input                                         pipe_clk,
71
  input                                         pl_phy_lnkup_n,
72
 
73
  input  [5:0]                                  pl_ltssm_state,
74
  input                                         pl_sel_lnk_rate,
75
  input  [1:0]                                  pl_directed_link_change,
76
 
77
  input  [3:0]                                  cfg_link_status_negotiated_width,
78
  input  [15:0]                                 pipe_rx0_data,
79
  input  [1:0]                                  pipe_rx0_char_isk,
80
 
81
  output                                        filter_pipe
82
 
83
);
84
 
85
  parameter TCQ = 1;
86
 
87
  reg                                           reg_filter_pipe;
88
  reg  [15:0]                                   reg_tsx_counter;
89
  wire [15:0]                                   tsx_counter;
90
 
91
  wire [5:0]                                    cap_link_width;
92
 
93
  // Corrupting all Tsx on all lanes as soon as we do R.RC->R.RI transition to allow time for
94
  // the core to see the TS1s on all the lanes being configured at the same time
95
  // R.RI has a 2ms timeout.Corrupting tsxs for ~1/4 of that time
96
  // 225 pipe_clk cycles-sim_fast_train
97
  // 60000 pipe_clk cycles-without sim_fast_train
98
  // Not taking any action  when PLDIRECTEDLINKCHANGE is set
99
 
100
// Detect xx, COM then PAD,xx or COM,PAD then PAD,xx
101
// data0 will be the first symbol on lane 0, data1 will be the next symbol.
102
//  Don't look for PAD on data1 since it's unnecessary.
103
// COM=0xbc and PAD=0xf7 (and isk).
104
// detect if (data & 0xb4) == 0xb4 and isk, and then
105
//  if (data & 0x4b) == 0x08 or 0x43.  This distinguishes COM and PAD, using
106
//  no more than a 6-input LUT, so should be "free".
107
 
108
reg     reg_filter_used, reg_com_then_pad;
109
reg     reg_data0_b4, reg_data0_08, reg_data0_43;
110
reg     reg_data1_b4, reg_data1_08, reg_data1_43;
111
reg     reg_data0_com, reg_data1_com, reg_data1_pad;
112
 
113
wire    data0_b4 = pipe_rx0_char_isk[0] &&
114
                                ((pipe_rx0_data[7:0] & 8'hb4) == 8'hb4);
115
wire    data0_08 = ((pipe_rx0_data[7:0] & 8'h4b) == 8'h08);
116
wire    data0_43 = ((pipe_rx0_data[7:0] & 8'h4b) == 8'h43);
117
wire    data1_b4 = pipe_rx0_char_isk[1] &&
118
                                ((pipe_rx0_data[15:8] & 8'hb4) == 8'hb4);
119
wire    data1_08 = ((pipe_rx0_data[15:8] & 8'h4b) == 8'h08);
120
wire    data1_43 = ((pipe_rx0_data[15:8] & 8'h4b) == 8'h43);
121
 
122
wire    data0_com = reg_data0_b4 && reg_data0_08;
123
wire    data1_com = reg_data1_b4 && reg_data1_08;
124
wire    data0_pad = reg_data0_b4 && reg_data0_43;
125
wire    data1_pad = reg_data1_b4 && reg_data1_43;
126
 
127
wire    com_then_pad0 = reg_data0_com && reg_data1_pad && data0_pad;
128
wire    com_then_pad1 = reg_data1_com && data0_pad && data1_pad;
129
wire    com_then_pad = (com_then_pad0 || com_then_pad1) && ~reg_filter_used;
130
wire    filter_used = (pl_ltssm_state == 6'h20) &&
131
                                (reg_filter_pipe || reg_filter_used);
132
 
133
  always @(posedge pipe_clk) begin
134
 
135
    reg_data0_b4 <= #TCQ data0_b4;
136
    reg_data0_08 <= #TCQ data0_08;
137
    reg_data0_43 <= #TCQ data0_43;
138
    reg_data1_b4 <= #TCQ data1_b4;
139
    reg_data1_08 <= #TCQ data1_08;
140
    reg_data1_43 <= #TCQ data1_43;
141
    reg_data0_com <= #TCQ data0_com;
142
    reg_data1_com <= #TCQ data1_com;
143
    reg_data1_pad <= #TCQ data1_pad;
144
    reg_com_then_pad <= #TCQ (~pl_phy_lnkup_n) ? com_then_pad : 1'b0;
145
    reg_filter_used <= #TCQ (~pl_phy_lnkup_n) ? filter_used : 1'b0;
146
 
147
  end
148
 
149
  always @ (posedge pipe_clk) begin
150
 
151
    if (pl_phy_lnkup_n) begin
152
 
153
      reg_tsx_counter <= #TCQ 16'h0;
154
      reg_filter_pipe <= #TCQ 1'b0;
155
 
156
    end else if ((pl_ltssm_state == 6'h20) &&
157
                 reg_com_then_pad &&
158
                 (cfg_link_status_negotiated_width != cap_link_width) &&
159
                 (pl_directed_link_change[1:0] == 2'b00)) begin
160
 
161
      reg_tsx_counter <= #TCQ 16'h0;
162
      reg_filter_pipe <= #TCQ 1'b1;
163
 
164
    end else if (filter_pipe == 1'b1) begin
165
 
166
      if (tsx_counter < ((PL_FAST_TRAIN == "TRUE") ? 16'd225: pl_sel_lnk_rate ? 16'd800 : 16'd400)) begin
167
 
168
        reg_tsx_counter <= #TCQ tsx_counter + 1'b1;
169
        reg_filter_pipe <= #TCQ 1'b1;
170
 
171
      end else begin
172
 
173
        reg_tsx_counter <= #TCQ 16'h0;
174
        reg_filter_pipe <= #TCQ 1'b0;
175
 
176
      end
177
 
178
    end
179
 
180
  end
181
 
182
  assign filter_pipe = (UPSTREAM_FACING == "TRUE") ? 1'b0 : reg_filter_pipe;
183
  assign tsx_counter = reg_tsx_counter;
184
 
185
  assign cap_link_width = LINK_CAP_MAX_LINK_WIDTH;
186
 
187
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.