OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x1/] [example_design/] [xilinx_pcie_2_0_ep_v6.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
-------------------------------------------------------------------------------
2
--
3
-- (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
--
5
-- This file contains confidential and proprietary information
6
-- of Xilinx, Inc. and is protected under U.S. and
7
-- international copyright and other intellectual property
8
-- laws.
9
--
10
-- DISCLAIMER
11
-- This disclaimer is not a license and does not grant any
12
-- rights to the materials distributed herewith. Except as
13
-- otherwise provided in a valid license issued to you by
14
-- Xilinx, and to the maximum extent permitted by applicable
15
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
-- (2) Xilinx shall not be liable (whether in contract or tort,
21
-- including negligence, or under any other theory of
22
-- liability) for any loss or damage of any kind or nature
23
-- related to, arising under or in connection with these
24
-- materials, including for any direct, or any indirect,
25
-- special, incidental, or consequential loss or damage
26
-- (including loss of data, profits, goodwill, or any type of
27
-- loss or damage suffered as a result of any action brought
28
-- by a third party) even if such damage or loss was
29
-- reasonably foreseeable or Xilinx had been advised of the
30
-- possibility of the same.
31
--
32
-- CRITICAL APPLICATIONS
33
-- Xilinx products are not designed or intended to be fail-
34
-- safe, or for use in any application requiring fail-safe
35
-- performance, such as life-support or safety devices or
36
-- systems, Class III medical devices, nuclear facilities,
37
-- applications related to the deployment of airbags, or any
38
-- other applications that could lead to death, personal
39
-- injury, or severe property or environmental damage
40
-- (individually and collectively, "Critical
41
-- Applications"). Customer assumes the sole risk and
42
-- liability of any use of Xilinx products in Critical
43
-- Applications, subject only to applicable laws and
44
-- regulations governing limitations on product liability.
45
--
46
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
-- PART OF THIS FILE AT ALL TIMES.
48
--
49
-------------------------------------------------------------------------------
50
-- Project    : Virtex-6 Integrated Block for PCI Express
51
-- File       : xilinx_pcie_2_0_ep_v6.vhd
52
-- Version    : 1.7
53
--
54
-- Description:  PCI Express Endpoint example FPGA design
55
--
56
------------------------------------------------------------------------------
57
 
58
library ieee;
59
use ieee.std_logic_1164.all;
60
 
61
library unisim;
62
use unisim.vcomponents.all;
63
 
64
entity xilinx_pcie_2_0_ep_v6 is
65
  generic (
66
  PL_FAST_TRAIN         : boolean := FALSE
67
    );
68
  port (
69
  pci_exp_txp                   : out std_logic_vector(0 downto 0);
70
  pci_exp_txn                   : out std_logic_vector(0 downto 0);
71
  pci_exp_rxp                   : in std_logic_vector(0 downto 0);
72
  pci_exp_rxn                   : in std_logic_vector(0 downto 0);
73
 
74
  sys_clk_p                     : in std_logic;
75
  sys_clk_n                     : in std_logic;
76
  sys_reset_n                   : in std_logic
77
);
78
end xilinx_pcie_2_0_ep_v6;
79
 
80
architecture rtl of xilinx_pcie_2_0_ep_v6 is
81
 
82
  component v6_pcie_v1_7_x1    generic (
83
      PL_FAST_TRAIN : boolean);
84
    port (
85
      pci_exp_txp                               : out std_logic_vector(0 downto 0);
86
      pci_exp_txn                               : out std_logic_vector(0 downto 0);
87
      pci_exp_rxp                               : in std_logic_vector(0 downto 0);
88
      pci_exp_rxn                               : in std_logic_vector(0 downto 0);
89
      trn_clk                                   : out std_logic;
90
      trn_reset_n                               : out std_logic;
91
      trn_lnk_up_n                              : out std_logic;
92
      trn_tbuf_av                               : out std_logic_vector(5 downto 0);
93
      trn_tcfg_req_n                            : out std_logic;
94
      trn_terr_drop_n                           : out std_logic;
95
      trn_tdst_rdy_n                            : out std_logic;
96
      trn_td                                    : in std_logic_vector(63 downto 0);
97
      trn_trem_n                                : in std_logic;
98
      trn_tsof_n                                : in std_logic;
99
      trn_teof_n                                : in std_logic;
100
      trn_tsrc_rdy_n                            : in std_logic;
101
      trn_tsrc_dsc_n                            : in std_logic;
102
      trn_terrfwd_n                             : in std_logic;
103
      trn_tcfg_gnt_n                            : in std_logic;
104
      trn_tstr_n                                : in std_logic;
105
      trn_rd                                    : out std_logic_vector(63 downto 0);
106
      trn_rrem_n                                : out std_logic;
107
      trn_rsof_n                                : out std_logic;
108
      trn_reof_n                                : out std_logic;
109
      trn_rsrc_rdy_n                            : out std_logic;
110
      trn_rsrc_dsc_n                            : out std_logic;
111
      trn_rerrfwd_n                             : out std_logic;
112
      trn_rbar_hit_n                            : out std_logic_vector(6 downto 0);
113
      trn_rdst_rdy_n                            : in std_logic;
114
      trn_rnp_ok_n                              : in std_logic;
115
      trn_fc_cpld                               : out std_logic_vector(11 downto 0);
116
      trn_fc_cplh                               : out std_logic_vector(7 downto 0);
117
      trn_fc_npd                                : out std_logic_vector(11 downto 0);
118
      trn_fc_nph                                : out std_logic_vector(7 downto 0);
119
      trn_fc_pd                                 : out std_logic_vector(11 downto 0);
120
      trn_fc_ph                                 : out std_logic_vector(7 downto 0);
121
      trn_fc_sel                                : in std_logic_vector(2 downto 0);
122
      cfg_do                                    : out std_logic_vector(31 downto 0);
123
      cfg_rd_wr_done_n                          : out std_logic;
124
      cfg_di                                    : in std_logic_vector(31 downto 0);
125
      cfg_byte_en_n                             : in std_logic_vector(3 downto 0);
126
      cfg_dwaddr                                : in std_logic_vector(9 downto 0);
127
      cfg_wr_en_n                               : in std_logic;
128
      cfg_rd_en_n                               : in std_logic;
129
      cfg_err_cor_n                             : in std_logic;
130
      cfg_err_ur_n                              : in std_logic;
131
      cfg_err_ecrc_n                            : in std_logic;
132
      cfg_err_cpl_timeout_n                     : in std_logic;
133
      cfg_err_cpl_abort_n                       : in std_logic;
134
      cfg_err_cpl_unexpect_n                    : in std_logic;
135
      cfg_err_posted_n                          : in std_logic;
136
      cfg_err_locked_n                          : in std_logic;
137
      cfg_err_tlp_cpl_header                    : in std_logic_vector(47 downto 0);
138
      cfg_err_cpl_rdy_n                         : out std_logic;
139
      cfg_interrupt_n                           : in std_logic;
140
      cfg_interrupt_rdy_n                       : out std_logic;
141
      cfg_interrupt_assert_n                    : in std_logic;
142
      cfg_interrupt_di                          : in std_logic_vector(7 downto 0);
143
      cfg_interrupt_do                          : out std_logic_vector(7 downto 0);
144
      cfg_interrupt_mmenable                    : out std_logic_vector(2 downto 0);
145
      cfg_interrupt_msienable                   : out std_logic;
146
      cfg_interrupt_msixenable                  : out std_logic;
147
      cfg_interrupt_msixfm                      : out std_logic;
148
      cfg_turnoff_ok_n                          : in std_logic;
149
      cfg_to_turnoff_n                          : out std_logic;
150
      cfg_trn_pending_n                         : in std_logic;
151
      cfg_pm_wake_n                             : in std_logic;
152
      cfg_bus_number                            : out std_logic_vector(7 downto 0);
153
      cfg_device_number                         : out std_logic_vector(4 downto 0);
154
      cfg_function_number                       : out std_logic_vector(2 downto 0);
155
      cfg_status                                : out std_logic_vector(15 downto 0);
156
      cfg_command                               : out std_logic_vector(15 downto 0);
157
      cfg_dstatus                               : out std_logic_vector(15 downto 0);
158
      cfg_dcommand                              : out std_logic_vector(15 downto 0);
159
      cfg_lstatus                               : out std_logic_vector(15 downto 0);
160
      cfg_lcommand                              : out std_logic_vector(15 downto 0);
161
      cfg_dcommand2                             : out std_logic_vector(15 downto 0);
162
      cfg_pcie_link_state_n                     : out std_logic_vector(2 downto 0);
163
      cfg_dsn                                   : in std_logic_vector(63 downto 0);
164
      cfg_pmcsr_pme_en                          : out std_logic;
165
      cfg_pmcsr_pme_status                      : out std_logic;
166
      cfg_pmcsr_powerstate                      : out std_logic_vector(1 downto 0);
167
      pl_initial_link_width                     : out std_logic_vector(2 downto 0);
168
      pl_lane_reversal_mode                     : out std_logic_vector(1 downto 0);
169
      pl_link_gen2_capable                      : out std_logic;
170
      pl_link_partner_gen2_supported            : out std_logic;
171
      pl_link_upcfg_capable                     : out std_logic;
172
      pl_ltssm_state                            : out std_logic_vector(5 downto 0);
173
      pl_received_hot_rst                       : out std_logic;
174
      pl_sel_link_rate                          : out std_logic;
175
      pl_sel_link_width                         : out std_logic_vector(1 downto 0);
176
      pl_directed_link_auton                    : in std_logic;
177
      pl_directed_link_change                   : in std_logic_vector(1 downto 0);
178
      pl_directed_link_speed                    : in std_logic;
179
      pl_directed_link_width                    : in std_logic_vector(1 downto 0);
180
      pl_upstream_prefer_deemph                 : in std_logic;
181
      sys_clk                                   : in std_logic;
182
      sys_reset_n                               : in std_logic);
183
  end component;
184
 
185
component pcie_app_v6 is
186
port  (
187
  trn_clk                   : in std_logic;
188
  trn_reset_n               : in std_logic;
189
  trn_lnk_up_n              : in std_logic;
190
  trn_td                    : out std_logic_vector(63 downto 0);
191
  trn_trem_n                : out std_logic;
192
  trn_tsof_n                : out std_logic;
193
  trn_teof_n                : out std_logic;
194
  trn_tsrc_rdy_n            : out std_logic;
195
  trn_tdst_rdy_n            : in std_logic;
196
  trn_tsrc_dsc_n            : out std_logic;
197
  trn_terrfwd_n             : out std_logic;
198
  trn_tcfg_req_n            : in std_logic;
199
  trn_tcfg_gnt_n            : out std_logic;
200
  trn_terr_drop_n           : in std_logic;
201
  trn_tbuf_av               : in std_logic_vector(5 downto 0);
202
  trn_tstr_n                : out std_logic;
203
  trn_rd                    : in std_logic_vector(63 downto 0);
204
  trn_rrem_n                : in std_logic;
205
  trn_rsof_n                : in std_logic;
206
  trn_reof_n                : in std_logic;
207
  trn_rsrc_rdy_n            : in std_logic;
208
  trn_rsrc_dsc_n            : in std_logic;
209
  trn_rdst_rdy_n            : out std_logic;
210
  trn_rerrfwd_n             : in std_logic;
211
  trn_rnp_ok_n              : out std_logic;
212
  trn_rbar_hit_n            : in std_logic_vector(6 downto 0);
213
  trn_fc_nph                : in std_logic_vector(7 downto 0);
214
  trn_fc_npd                : in std_logic_vector(11 downto 0);
215
  trn_fc_ph                 : in std_logic_vector(7 downto 0);
216
  trn_fc_pd                 : in std_logic_vector(11 downto 0);
217
  trn_fc_cplh               : in std_logic_vector(7 downto 0);
218
  trn_fc_cpld               : in std_logic_vector(11 downto 0);
219
  trn_fc_sel                : out std_logic_vector(2 downto 0);
220
  cfg_do                    : in std_logic_vector(31 downto 0);
221
  cfg_di                    : out std_logic_vector(31 downto 0);
222
  cfg_byte_en_n             : out std_logic_vector(3 downto 0);
223
  cfg_dwaddr                : out std_logic_vector(9 downto 0);
224
  cfg_rd_wr_done_n          : in std_logic;
225
  cfg_wr_en_n               : out std_logic;
226
  cfg_rd_en_n               : out std_logic;
227
  cfg_err_cor_n             : out std_logic;
228
  cfg_err_ur_n              : out std_logic;
229
  cfg_err_cpl_rdy_n         : in std_logic;
230
  cfg_err_ecrc_n            : out std_logic;
231
  cfg_err_cpl_timeout_n     : out std_logic;
232
  cfg_err_cpl_abort_n       : out std_logic;
233
  cfg_err_cpl_unexpect_n    : out std_logic;
234
  cfg_err_posted_n          : out std_logic;
235
  cfg_err_locked_n          : out std_logic;
236
  cfg_interrupt_n           : out std_logic;
237
  cfg_interrupt_rdy_n       : in std_logic;
238
  cfg_interrupt_assert_n    : out std_logic;
239
  cfg_interrupt_di          : out std_logic_vector(7 downto 0);
240
  cfg_interrupt_do          : in  std_logic_vector(7 downto 0);
241
  cfg_interrupt_mmenable    : in  std_logic_vector(2 downto 0);
242
  cfg_interrupt_msienable   : in  std_logic;
243
  cfg_interrupt_msixenable  : in  std_logic;
244
  cfg_interrupt_msixfm      : in  std_logic;
245
  cfg_turnoff_ok_n          : out std_logic;
246
  cfg_to_turnoff_n          : in std_logic;
247
  cfg_pm_wake_n             : out std_logic;
248
  cfg_pcie_link_state_n     : in std_logic_vector(2 downto 0);
249
  cfg_trn_pending_n         : out std_logic;
250
  cfg_err_tlp_cpl_header    : out std_logic_vector(47 downto 0);
251
  cfg_bus_number            : in std_logic_vector(7 downto 0);
252
  cfg_device_number         : in std_logic_vector(4 downto 0);
253
  cfg_function_number       : in std_logic_vector(2 downto 0);
254
  cfg_status                : in std_logic_vector(15 downto 0);
255
  cfg_command               : in std_logic_vector(15 downto 0);
256
  cfg_dstatus               : in std_logic_vector(15 downto 0);
257
  cfg_dcommand              : in std_logic_vector(15 downto 0);
258
  cfg_lstatus               : in std_logic_vector(15 downto 0);
259
  cfg_lcommand              : in std_logic_vector(15 downto 0);
260
  cfg_dcommand2             : in std_logic_vector(15 downto 0);
261
  pl_directed_link_change   : out std_logic_vector(1 downto 0);
262
  pl_ltssm_state            : in std_logic_vector(5 downto 0);
263
  pl_directed_link_width    : out std_logic_vector(1 downto 0);
264
  pl_directed_link_speed    : out std_logic;
265
  pl_directed_link_auton    : out std_logic;
266
  pl_upstream_prefer_deemph : out std_logic;
267
  pl_sel_link_width         : in std_logic_vector(1 downto 0);
268
  pl_sel_link_rate          : in std_logic;
269
  pl_link_gen2_capable      : in std_logic;
270
  pl_link_partner_gen2_supported : in std_logic;
271
  pl_initial_link_width     : in std_logic_vector(2 downto 0);
272
  pl_link_upcfg_capable     : in std_logic;
273
  pl_lane_reversal_mode     : in std_logic_vector(1 downto 0);
274
  pl_received_hot_rst       : in std_logic;
275
  cfg_dsn                   : out std_logic_vector(63 downto 0));
276
end component;
277
 
278
 
279
  -- Tx
280
  signal trn_tbuf_av : std_logic_vector(5 downto 0);
281
  signal trn_tcfg_req_n : std_logic;
282
  signal trn_terr_drop_n : std_logic;
283
  signal trn_tdst_rdy_n : std_logic;
284
  signal trn_td : std_logic_vector(63 downto 0);
285
  signal trn_trem_n : std_logic;
286
  signal trn_tsof_n : std_logic;
287
  signal trn_teof_n : std_logic;
288
  signal trn_tsrc_rdy_n : std_logic;
289
  signal trn_tsrc_dsc_n : std_logic;
290
  signal trn_terrfwd_n : std_logic;
291
  signal trn_tcfg_gnt_n : std_logic;
292
  signal trn_tstr_n : std_logic;
293
 
294
  -- Rx
295
  signal trn_rd : std_logic_vector(63 downto 0);
296
  signal trn_rrem_n : std_logic;
297
  signal trn_rsof_n : std_logic;
298
  signal trn_reof_n : std_logic;
299
  signal trn_rsrc_rdy_n : std_logic;
300
  signal trn_rsrc_dsc_n : std_logic;
301
  signal trn_rerrfwd_n : std_logic;
302
  signal trn_rbar_hit_n : std_logic_vector(6 downto 0);
303
  signal trn_rdst_rdy_n : std_logic;
304
  signal trn_rnp_ok_n : std_logic;
305
 
306
  -- Flow Control
307
  signal trn_fc_cpld : std_logic_vector(11 downto 0);
308
  signal trn_fc_cplh : std_logic_vector(7 downto 0);
309
  signal trn_fc_npd : std_logic_vector(11 downto 0);
310
  signal trn_fc_nph : std_logic_vector(7 downto 0);
311
  signal trn_fc_pd : std_logic_vector(11 downto 0);
312
  signal trn_fc_ph : std_logic_vector(7 downto 0);
313
  signal trn_fc_sel : std_logic_vector(2 downto 0);
314
 
315
  signal trn_lnk_up_n : std_logic;
316
  signal trn_lnk_up_n_int1 : std_logic;
317
  signal trn_clk : std_logic;
318
  signal trn_reset_n : std_logic;
319
  signal trn_reset_n_int1 : std_logic;
320
 
321
  ---------------------------------------------------------
322
  -- 3. Configuration (CFG) Interface
323
  ---------------------------------------------------------
324
 
325
  signal cfg_do : std_logic_vector(31 downto 0);
326
  signal cfg_rd_wr_done_n : std_logic;
327
  signal cfg_di : std_logic_vector(31 downto 0);
328
  signal cfg_byte_en_n : std_logic_vector(3 downto 0);
329
  signal cfg_dwaddr : std_logic_vector(9 downto 0);
330
  signal cfg_wr_en_n : std_logic;
331
  signal cfg_rd_en_n : std_logic;
332
 
333
  signal cfg_err_cor_n: std_logic;
334
  signal cfg_err_ur_n : std_logic;
335
  signal cfg_err_ecrc_n : std_logic;
336
  signal cfg_err_cpl_timeout_n : std_logic;
337
  signal cfg_err_cpl_abort_n : std_logic;
338
  signal cfg_err_cpl_unexpect_n : std_logic;
339
  signal cfg_err_posted_n : std_logic;
340
  signal cfg_err_locked_n : std_logic;
341
  signal cfg_err_tlp_cpl_header : std_logic_vector(47 downto 0);
342
  signal cfg_err_cpl_rdy_n : std_logic;
343
  signal cfg_interrupt_n : std_logic;
344
  signal cfg_interrupt_rdy_n : std_logic;
345
  signal cfg_interrupt_assert_n : std_logic;
346
  signal cfg_interrupt_di : std_logic_vector(7 downto 0);
347
  signal cfg_interrupt_do : std_logic_vector(7 downto 0);
348
  signal cfg_interrupt_mmenable : std_logic_vector(2 downto 0);
349
  signal cfg_interrupt_msienable : std_logic;
350
  signal cfg_interrupt_msixenable : std_logic;
351
  signal cfg_interrupt_msixfm : std_logic;
352
  signal cfg_turnoff_ok_n : std_logic;
353
  signal cfg_to_turnoff_n : std_logic;
354
  signal cfg_trn_pending_n : std_logic;
355
  signal cfg_pm_wake_n : std_logic;
356
  signal cfg_bus_number : std_logic_vector(7 downto 0);
357
  signal cfg_device_number : std_logic_vector(4 downto 0);
358
  signal cfg_function_number : std_logic_vector(2 downto 0);
359
  signal cfg_status : std_logic_vector(15 downto 0);
360
  signal cfg_command : std_logic_vector(15 downto 0);
361
  signal cfg_dstatus : std_logic_vector(15 downto 0);
362
  signal cfg_dcommand : std_logic_vector(15 downto 0);
363
  signal cfg_lstatus : std_logic_vector(15 downto 0);
364
  signal cfg_lcommand : std_logic_vector(15 downto 0);
365
  signal cfg_dcommand2 : std_logic_vector(15 downto 0);
366
  signal cfg_pcie_link_state_n : std_logic_vector(2 downto 0);
367
  signal cfg_dsn : std_logic_vector(63 downto 0);
368
 
369
  ---------------------------------------------------------
370
  -- 4. Physical Layer Control and Status (PL) Interface
371
  ---------------------------------------------------------
372
 
373
  signal pl_initial_link_width : std_logic_vector(2 downto 0);
374
  signal pl_lane_reversal_mode : std_logic_vector(1 downto 0);
375
  signal pl_link_gen2_capable : std_logic;
376
  signal pl_link_partner_gen2_supported : std_logic;
377
  signal pl_link_upcfg_capable : std_logic;
378
  signal pl_ltssm_state : std_logic_vector(5 downto 0);
379
  signal pl_received_hot_rst : std_logic;
380
  signal pl_sel_link_rate : std_logic;
381
  signal pl_sel_link_width : std_logic_vector(1 downto 0);
382
  signal pl_directed_link_auton : std_logic;
383
  signal pl_directed_link_change : std_logic_vector(1 downto 0);
384
  signal pl_directed_link_speed : std_logic;
385
  signal pl_directed_link_width : std_logic_vector(1 downto 0);
386
  signal pl_upstream_prefer_deemph : std_logic;
387
 
388
  signal sys_clk_c : std_logic;
389
  signal sys_reset_n_c : std_logic;
390
 
391
  -------------------------------------------------------
392
 
393
begin
394
 
395
  refclk_ibuf : IBUFDS_GTXE1
396
     port map(
397
       O       => sys_clk_c,
398
       ODIV2   => open,
399
       I       => sys_clk_p,
400
       IB      => sys_clk_n,
401
       CEB     => '0');
402
 
403
  sys_reset_n_ibuf : IBUF
404
     port map(
405
       O       => sys_reset_n_c,
406
       I       => sys_reset_n);
407
 
408
  trn_lnk_up_n_int_i: FDCP
409
     generic map(
410
       INIT    => '1')
411
     port map(
412
       Q       => trn_lnk_up_n,
413
       D       => trn_lnk_up_n_int1,
414
       C       => trn_clk,
415
       CLR     => '0',
416
       PRE     => '0');
417
 
418
  trn_reset_n_i : FDCP
419
     generic map(
420
       INIT        => '1')
421
     port map(
422
        Q      => trn_reset_n,
423
        D      => trn_reset_n_int1,
424
        C      => trn_clk,
425
        CLR    => '0',
426
        PRE    => '0');
427
 
428
 
429
core_i : v6_pcie_v1_7_x1
430
  generic map(
431
     PL_FAST_TRAIN     =>  PL_FAST_TRAIN)
432
  port map(
433
  pci_exp_txp        =>  pci_exp_txp,
434
  pci_exp_txn        =>  pci_exp_txn,
435
  pci_exp_rxp        =>  pci_exp_rxp,
436
  pci_exp_rxn        =>  pci_exp_rxn,
437
  trn_clk            =>  trn_clk ,
438
  trn_reset_n        =>  trn_reset_n_int1 ,
439
  trn_lnk_up_n       =>  trn_lnk_up_n_int1 ,
440
  trn_tbuf_av        =>  trn_tbuf_av ,
441
  trn_tcfg_req_n     =>  trn_tcfg_req_n ,
442
  trn_terr_drop_n    =>  trn_terr_drop_n ,
443
  trn_tdst_rdy_n     =>  trn_tdst_rdy_n ,
444
  trn_td             =>  trn_td ,
445
  trn_trem_n         =>  trn_trem_n ,
446
  trn_tsof_n         =>  trn_tsof_n ,
447
  trn_teof_n         =>  trn_teof_n ,
448
  trn_tsrc_rdy_n     =>  trn_tsrc_rdy_n ,
449
  trn_tsrc_dsc_n     =>  trn_tsrc_dsc_n ,
450
  trn_terrfwd_n      =>  trn_terrfwd_n ,
451
  trn_tcfg_gnt_n     =>  trn_tcfg_gnt_n ,
452
  trn_tstr_n         =>  trn_tstr_n ,
453
  trn_rd             =>  trn_rd ,
454
  trn_rrem_n         =>  trn_rrem_n ,
455
  trn_rsof_n         =>  trn_rsof_n ,
456
  trn_reof_n         =>  trn_reof_n ,
457
  trn_rsrc_rdy_n     =>  trn_rsrc_rdy_n ,
458
  trn_rsrc_dsc_n     =>  trn_rsrc_dsc_n ,
459
  trn_rerrfwd_n      =>  trn_rerrfwd_n ,
460
  trn_rbar_hit_n     =>  trn_rbar_hit_n ,
461
  trn_rdst_rdy_n     =>  trn_rdst_rdy_n ,
462
  trn_rnp_ok_n       =>  trn_rnp_ok_n ,
463
  trn_fc_cpld        =>  trn_fc_cpld ,
464
  trn_fc_cplh        =>  trn_fc_cplh ,
465
  trn_fc_npd         =>  trn_fc_npd ,
466
  trn_fc_nph         =>  trn_fc_nph ,
467
  trn_fc_pd          =>  trn_fc_pd ,
468
  trn_fc_ph          =>  trn_fc_ph ,
469
  trn_fc_sel         =>  trn_fc_sel ,
470
  cfg_do             =>  cfg_do ,
471
  cfg_rd_wr_done_n   =>  cfg_rd_wr_done_n,
472
  cfg_di             =>  cfg_di ,
473
  cfg_byte_en_n      =>  cfg_byte_en_n ,
474
  cfg_dwaddr         =>  cfg_dwaddr ,
475
  cfg_wr_en_n        =>  cfg_wr_en_n ,
476
  cfg_rd_en_n        =>  cfg_rd_en_n ,
477
 
478
  cfg_err_cor_n                   =>  cfg_err_cor_n ,
479
  cfg_err_ur_n                    =>  cfg_err_ur_n ,
480
  cfg_err_ecrc_n                  =>  cfg_err_ecrc_n ,
481
  cfg_err_cpl_timeout_n           =>  cfg_err_cpl_timeout_n ,
482
  cfg_err_cpl_abort_n             =>  cfg_err_cpl_abort_n ,
483
  cfg_err_cpl_unexpect_n          =>  cfg_err_cpl_unexpect_n ,
484
  cfg_err_posted_n                =>  cfg_err_posted_n ,
485
  cfg_err_locked_n                =>  cfg_err_locked_n ,
486
  cfg_err_tlp_cpl_header          =>  cfg_err_tlp_cpl_header ,
487
  cfg_err_cpl_rdy_n               =>  cfg_err_cpl_rdy_n ,
488
  cfg_interrupt_n                 =>  cfg_interrupt_n ,
489
  cfg_interrupt_rdy_n             =>  cfg_interrupt_rdy_n ,
490
  cfg_interrupt_assert_n          =>  cfg_interrupt_assert_n ,
491
  cfg_interrupt_di                =>  cfg_interrupt_di ,
492
  cfg_interrupt_do                =>  cfg_interrupt_do ,
493
  cfg_interrupt_mmenable          =>  cfg_interrupt_mmenable ,
494
  cfg_interrupt_msienable         =>  cfg_interrupt_msienable ,
495
  cfg_interrupt_msixenable        =>  cfg_interrupt_msixenable ,
496
  cfg_interrupt_msixfm            =>  cfg_interrupt_msixfm ,
497
  cfg_turnoff_ok_n                =>  cfg_turnoff_ok_n ,
498
  cfg_to_turnoff_n                =>  cfg_to_turnoff_n ,
499
  cfg_trn_pending_n               =>  cfg_trn_pending_n ,
500
  cfg_pm_wake_n                   =>  cfg_pm_wake_n ,
501
  cfg_bus_number                  =>  cfg_bus_number ,
502
  cfg_device_number               =>  cfg_device_number ,
503
  cfg_function_number             =>  cfg_function_number ,
504
  cfg_status                      =>  cfg_status ,
505
  cfg_command                     =>  cfg_command ,
506
  cfg_dstatus                     =>  cfg_dstatus ,
507
  cfg_dcommand                    =>  cfg_dcommand ,
508
  cfg_lstatus                     =>  cfg_lstatus ,
509
  cfg_lcommand                    =>  cfg_lcommand ,
510
  cfg_dcommand2                   =>  cfg_dcommand2 ,
511
  cfg_pcie_link_state_n           =>  cfg_pcie_link_state_n ,
512
  cfg_dsn                         =>  cfg_dsn ,
513
  cfg_pmcsr_pme_en                =>  open,
514
  cfg_pmcsr_pme_status            =>  open,
515
  cfg_pmcsr_powerstate            =>  open,
516
  pl_initial_link_width           =>  pl_initial_link_width ,
517
  pl_lane_reversal_mode           =>  pl_lane_reversal_mode ,
518
  pl_link_gen2_capable            =>  pl_link_gen2_capable ,
519
  pl_link_partner_gen2_supported  =>  pl_link_partner_gen2_supported ,
520
  pl_link_upcfg_capable           =>  pl_link_upcfg_capable ,
521
  pl_ltssm_state                  =>  pl_ltssm_state ,
522
  pl_received_hot_rst             =>  pl_received_hot_rst ,
523
  pl_sel_link_rate                =>  pl_sel_link_rate ,
524
  pl_sel_link_width               =>  pl_sel_link_width ,
525
  pl_directed_link_auton          =>  pl_directed_link_auton ,
526
  pl_directed_link_change         =>  pl_directed_link_change ,
527
  pl_directed_link_speed          =>  pl_directed_link_speed ,
528
  pl_directed_link_width          =>  pl_directed_link_width ,
529
  pl_upstream_prefer_deemph       =>  pl_upstream_prefer_deemph ,
530
  sys_clk                         =>  sys_clk_c ,
531
  sys_reset_n                     =>  sys_reset_n_c
532
 
533
);
534
 
535
 
536
app : pcie_app_v6
537
  port map(
538
  trn_clk        =>  trn_clk ,
539
  trn_reset_n        =>  trn_reset_n_int1 ,
540
  trn_lnk_up_n        =>  trn_lnk_up_n_int1 ,
541
  trn_tbuf_av        =>  trn_tbuf_av ,
542
  trn_tcfg_req_n        =>  trn_tcfg_req_n ,
543
  trn_terr_drop_n        =>  trn_terr_drop_n ,
544
  trn_tdst_rdy_n        =>  trn_tdst_rdy_n ,
545
  trn_td        =>  trn_td ,
546
  trn_trem_n        =>  trn_trem_n ,
547
  trn_tsof_n        =>  trn_tsof_n ,
548
  trn_teof_n        =>  trn_teof_n ,
549
  trn_tsrc_rdy_n        =>  trn_tsrc_rdy_n ,
550
  trn_tsrc_dsc_n        =>  trn_tsrc_dsc_n ,
551
  trn_terrfwd_n        =>  trn_terrfwd_n ,
552
  trn_tcfg_gnt_n        =>  trn_tcfg_gnt_n ,
553
  trn_tstr_n        =>  trn_tstr_n ,
554
  trn_rd        =>  trn_rd ,
555
  trn_rrem_n        =>  trn_rrem_n ,
556
  trn_rsof_n        =>  trn_rsof_n ,
557
  trn_reof_n        =>  trn_reof_n ,
558
  trn_rsrc_rdy_n        =>  trn_rsrc_rdy_n ,
559
  trn_rsrc_dsc_n        =>  trn_rsrc_dsc_n ,
560
  trn_rerrfwd_n        =>  trn_rerrfwd_n ,
561
  trn_rbar_hit_n        =>  trn_rbar_hit_n ,
562
  trn_rdst_rdy_n        =>  trn_rdst_rdy_n ,
563
  trn_rnp_ok_n        =>  trn_rnp_ok_n ,
564
  trn_fc_cpld        =>  trn_fc_cpld ,
565
  trn_fc_cplh        =>  trn_fc_cplh ,
566
  trn_fc_npd        =>  trn_fc_npd ,
567
  trn_fc_nph        =>  trn_fc_nph ,
568
  trn_fc_pd        =>  trn_fc_pd ,
569
  trn_fc_ph        =>  trn_fc_ph ,
570
  trn_fc_sel        =>  trn_fc_sel ,
571
  cfg_do        =>  cfg_do ,
572
  cfg_rd_wr_done_n        =>  cfg_rd_wr_done_n,
573
  cfg_di        =>  cfg_di ,
574
  cfg_byte_en_n        =>  cfg_byte_en_n ,
575
  cfg_dwaddr        =>  cfg_dwaddr ,
576
  cfg_wr_en_n        =>  cfg_wr_en_n ,
577
  cfg_rd_en_n        =>  cfg_rd_en_n ,
578
  cfg_err_cor_n        =>  cfg_err_cor_n ,
579
  cfg_err_ur_n        =>  cfg_err_ur_n ,
580
  cfg_err_ecrc_n        =>  cfg_err_ecrc_n ,
581
  cfg_err_cpl_timeout_n        =>  cfg_err_cpl_timeout_n ,
582
  cfg_err_cpl_abort_n        =>  cfg_err_cpl_abort_n ,
583
  cfg_err_cpl_unexpect_n        =>  cfg_err_cpl_unexpect_n ,
584
  cfg_err_posted_n        =>  cfg_err_posted_n ,
585
  cfg_err_locked_n        =>  cfg_err_locked_n ,
586
  cfg_err_tlp_cpl_header        =>  cfg_err_tlp_cpl_header ,
587
  cfg_err_cpl_rdy_n        =>  cfg_err_cpl_rdy_n ,
588
  cfg_interrupt_n        =>  cfg_interrupt_n ,
589
  cfg_interrupt_rdy_n        =>  cfg_interrupt_rdy_n ,
590
  cfg_interrupt_assert_n        =>  cfg_interrupt_assert_n ,
591
  cfg_interrupt_di        =>  cfg_interrupt_di ,
592
  cfg_interrupt_do        =>  cfg_interrupt_do ,
593
  cfg_interrupt_mmenable        =>  cfg_interrupt_mmenable ,
594
  cfg_interrupt_msienable        =>  cfg_interrupt_msienable ,
595
  cfg_interrupt_msixenable        =>  cfg_interrupt_msixenable ,
596
  cfg_interrupt_msixfm        =>  cfg_interrupt_msixfm ,
597
  cfg_turnoff_ok_n        =>  cfg_turnoff_ok_n ,
598
  cfg_to_turnoff_n        =>  cfg_to_turnoff_n ,
599
  cfg_trn_pending_n        =>  cfg_trn_pending_n ,
600
  cfg_pm_wake_n        =>  cfg_pm_wake_n ,
601
  cfg_bus_number        =>  cfg_bus_number ,
602
  cfg_device_number        =>  cfg_device_number ,
603
  cfg_function_number        =>  cfg_function_number ,
604
  cfg_status        =>  cfg_status ,
605
  cfg_command        =>  cfg_command ,
606
  cfg_dstatus        =>  cfg_dstatus ,
607
  cfg_dcommand        =>  cfg_dcommand ,
608
  cfg_lstatus        =>  cfg_lstatus ,
609
  cfg_lcommand        =>  cfg_lcommand ,
610
  cfg_dcommand2        =>  cfg_dcommand2 ,
611
  cfg_pcie_link_state_n        =>  cfg_pcie_link_state_n ,
612
  cfg_dsn        =>  cfg_dsn ,
613
  pl_initial_link_width        =>  pl_initial_link_width ,
614
  pl_lane_reversal_mode        =>  pl_lane_reversal_mode ,
615
  pl_link_gen2_capable        =>  pl_link_gen2_capable ,
616
  pl_link_partner_gen2_supported        =>  pl_link_partner_gen2_supported ,
617
  pl_link_upcfg_capable        =>  pl_link_upcfg_capable ,
618
  pl_ltssm_state        =>  pl_ltssm_state ,
619
  pl_received_hot_rst        =>  pl_received_hot_rst ,
620
  pl_sel_link_rate        =>  pl_sel_link_rate ,
621
  pl_sel_link_width        =>  pl_sel_link_width ,
622
  pl_directed_link_auton        =>  pl_directed_link_auton ,
623
  pl_directed_link_change        =>  pl_directed_link_change ,
624
  pl_directed_link_speed        =>  pl_directed_link_speed ,
625
  pl_directed_link_width        =>  pl_directed_link_width ,
626
  pl_upstream_prefer_deemph        =>  pl_upstream_prefer_deemph);
627
 
628
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.