OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x1/] [simulation/] [dsport/] [pci_exp_usrapp_pl.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
-------------------------------------------------------------------------------
2
--
3
-- (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
--
5
-- This file contains confidential and proprietary information
6
-- of Xilinx, Inc. and is protected under U.S. and
7
-- international copyright and other intellectual property
8
-- laws.
9
--
10
-- DISCLAIMER
11
-- This disclaimer is not a license and does not grant any
12
-- rights to the materials distributed herewith. Except as
13
-- otherwise provided in a valid license issued to you by
14
-- Xilinx, and to the maximum extent permitted by applicable
15
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
-- (2) Xilinx shall not be liable (whether in contract or tort,
21
-- including negligence, or under any other theory of
22
-- liability) for any loss or damage of any kind or nature
23
-- related to, arising under or in connection with these
24
-- materials, including for any direct, or any indirect,
25
-- special, incidental, or consequential loss or damage
26
-- (including loss of data, profits, goodwill, or any type of
27
-- loss or damage suffered as a result of any action brought
28
-- by a third party) even if such damage or loss was
29
-- reasonably foreseeable or Xilinx had been advised of the
30
-- possibility of the same.
31
--
32
-- CRITICAL APPLICATIONS
33
-- Xilinx products are not designed or intended to be fail-
34
-- safe, or for use in any application requiring fail-safe
35
-- performance, such as life-support or safety devices or
36
-- systems, Class III medical devices, nuclear facilities,
37
-- applications related to the deployment of airbags, or any
38
-- other applications that could lead to death, personal
39
-- injury, or severe property or environmental damage
40
-- (individually and collectively, "Critical
41
-- Applications"). Customer assumes the sole risk and
42
-- liability of any use of Xilinx products in Critical
43
-- Applications, subject only to applicable laws and
44
-- regulations governing limitations on product liability.
45
--
46
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
-- PART OF THIS FILE AT ALL TIMES.
48
--
49
-------------------------------------------------------------------------------
50
-- Project    : Virtex-6 Integrated Block for PCI Express
51
-- File       : pci_exp_usrapp_pl.vhd
52
-- Version    : 1.7
53
--
54
--------------------------------------------------------------------------------
55
library ieee;
56
   use ieee.std_logic_1164.all;
57
 
58
entity pci_exp_usrapp_pl is
59
   generic (
60
     LINK_CAP_MAX_LINK_SPEED : integer := 1);
61
   port (
62
 
63
      pl_initial_link_width           : in std_logic_vector(2 downto 0);
64
      pl_lane_reversal_mode           : in std_logic_vector(1 downto 0);
65
      pl_link_gen2_capable            : in std_logic;
66
      pl_link_partner_gen2_supported  : in std_logic;
67
      pl_link_upcfg_capable           : in std_logic;
68
      pl_ltssm_state                  : in std_logic_vector(5 downto 0);
69
      pl_received_hot_rst             : in std_logic;
70
      pl_sel_link_rate                : in std_logic;
71
      pl_sel_link_width               : in std_logic_vector(1 downto 0);
72
      pl_directed_link_auton          : out std_logic;
73
      pl_directed_link_change         : out std_logic_vector(1 downto 0);
74
      pl_directed_link_speed          : out std_logic;
75
      pl_directed_link_width          : out std_logic_vector(1 downto 0);
76
      pl_upstream_prefer_deemph       : out std_logic;
77
      speed_change_done_n             : out std_logic;
78
 
79
      trn_lnk_up_n                    : in std_logic;
80
      trn_clk                         : in std_logic;
81
      trn_reset_n                     : in std_logic
82
   );
83
end pci_exp_usrapp_pl;
84
 
85
architecture rtl of pci_exp_usrapp_pl is
86
 
87
   constant Tcq                     : integer := 1;
88
begin
89
 
90
   process
91
   begin
92
 
93
      pl_directed_link_auton <= '0';
94
      pl_directed_link_change <= "00";
95
      pl_directed_link_speed <= '0';
96
      pl_directed_link_width <= "00";
97
      pl_upstream_prefer_deemph <= '0';
98
 
99
      speed_change_done_n <= '1';
100
      if (LINK_CAP_MAX_LINK_SPEED = 2) then
101
 
102
         wait until trn_lnk_up_n = '0';
103
 
104
         pl_directed_link_speed <= '1';
105
         pl_directed_link_change <= "10";
106
 
107
         wait until pl_ltssm_state = "100000";
108
 
109
         pl_directed_link_speed <= '0';
110
         pl_directed_link_change <= "00";
111
 
112
         wait until pl_sel_link_rate = '1';
113
 
114
         speed_change_done_n <= '0';
115
 
116
      end if;
117
      wait;
118
   end process;
119
 
120
 
121
end rtl;
122
 
123
 
124
 
125
 
126
-- pci_exp_usrapp_pl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.