OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x1/] [source/] [pcie_bram_top_v6.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
 
2
//-----------------------------------------------------------------------------
3
//
4
// (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
5
//
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
//
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
//
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
//
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
//
50
//-----------------------------------------------------------------------------
51
// Project    : Virtex-6 Integrated Block for PCI Express
52
// File       : pcie_bram_top_v6.v
53
// Version    : 1.7
54
//--
55
//-- Description: BlockRAM top level module for Virtex6 PCIe Block
56
//--
57
//--
58
//--
59
//--------------------------------------------------------------------------------
60
 
61
`timescale 1ns/1ns
62
 
63
module pcie_bram_top_v6
64
#(
65
   parameter DEV_CAP_MAX_PAYLOAD_SUPPORTED = 0,
66
 
67
   parameter VC0_TX_LASTPACKET         = 31,
68
   parameter TLM_TX_OVERHEAD           = 24,
69
   parameter TL_TX_RAM_RADDR_LATENCY   = 1,
70
   parameter TL_TX_RAM_RDATA_LATENCY   = 2,
71
   parameter TL_TX_RAM_WRITE_LATENCY   = 1,
72
 
73
   parameter VC0_RX_LIMIT              = 'h1FFF,
74
   parameter TL_RX_RAM_RADDR_LATENCY   = 1,
75
   parameter TL_RX_RAM_RDATA_LATENCY   = 2,
76
   parameter TL_RX_RAM_WRITE_LATENCY   = 1
77
)
78
  (
79
   input          user_clk_i,
80
   input          reset_i,
81
 
82
   input          mim_tx_wen,
83
   input  [12:0]  mim_tx_waddr,
84
   input  [71:0]  mim_tx_wdata,
85
   input          mim_tx_ren,
86
   input          mim_tx_rce,
87
   input  [12:0]  mim_tx_raddr,
88
   output [71:0]  mim_tx_rdata,
89
 
90
   input          mim_rx_wen,
91
   input  [12:0]  mim_rx_waddr,
92
   input  [71:0]  mim_rx_wdata,
93
   input          mim_rx_ren,
94
   input          mim_rx_rce,
95
   input  [12:0]  mim_rx_raddr,
96
   output [71:0]  mim_rx_rdata
97
   );
98
 
99
   // TX calculations
100
   localparam MPS_BYTES = ((DEV_CAP_MAX_PAYLOAD_SUPPORTED == 0) ? 128 :
101
                           (DEV_CAP_MAX_PAYLOAD_SUPPORTED == 1) ? 256 :
102
                           (DEV_CAP_MAX_PAYLOAD_SUPPORTED == 2) ? 512 :
103
                                                                 1024 );
104
 
105
   localparam BYTES_TX = (VC0_TX_LASTPACKET + 1) * (MPS_BYTES + TLM_TX_OVERHEAD);
106
 
107
   localparam ROWS_TX = 1;
108
   localparam COLS_TX = ((BYTES_TX <= 4096) ?  1 :
109
                         (BYTES_TX <= 8192) ?  2 :
110
                         (BYTES_TX <= 16384) ? 4 :
111
                         (BYTES_TX <= 32768) ? 8 :
112
                                              18
113
                        );
114
 
115
   // RX calculations
116
   localparam ROWS_RX = 1;
117
 
118
   localparam COLS_RX = ((VC0_RX_LIMIT < 'h0200) ? 1 :
119
                         (VC0_RX_LIMIT < 'h0400) ? 2 :
120
                         (VC0_RX_LIMIT < 'h0800) ? 4 :
121
                         (VC0_RX_LIMIT < 'h1000) ? 8 :
122
                                                  18
123
                        );
124
 
125
   initial begin
126
      $display("[%t] %m ROWS_TX %0d COLS_TX %0d", $time, ROWS_TX, COLS_TX);
127
      $display("[%t] %m ROWS_RX %0d COLS_RX %0d", $time, ROWS_RX, COLS_RX);
128
   end
129
 
130
   pcie_brams_v6 #(.NUM_BRAMS        (COLS_TX),
131
                   .RAM_RADDR_LATENCY(TL_TX_RAM_RADDR_LATENCY),
132
                   .RAM_RDATA_LATENCY(TL_TX_RAM_RDATA_LATENCY),
133
                   .RAM_WRITE_LATENCY(TL_TX_RAM_WRITE_LATENCY))
134
   pcie_brams_tx
135
   (
136
    .user_clk_i(user_clk_i),
137
    .reset_i(reset_i),
138
 
139
    .waddr(mim_tx_waddr),
140
    .wen(mim_tx_wen),
141
    .ren(mim_tx_ren),
142
    .rce(mim_tx_rce),
143
    .wdata(mim_tx_wdata),
144
    .raddr(mim_tx_raddr),
145
    .rdata(mim_tx_rdata)
146
   );
147
 
148
   pcie_brams_v6 #(.NUM_BRAMS        (COLS_RX),
149
                   .RAM_RADDR_LATENCY(TL_RX_RAM_RADDR_LATENCY),
150
                   .RAM_RDATA_LATENCY(TL_RX_RAM_RDATA_LATENCY),
151
                   .RAM_WRITE_LATENCY(TL_RX_RAM_WRITE_LATENCY))
152
   pcie_brams_rx
153
   (
154
    .user_clk_i(user_clk_i),
155
    .reset_i(reset_i),
156
 
157
    .waddr(mim_rx_waddr),
158
    .wen(mim_rx_wen),
159
    .ren(mim_rx_ren),
160
    .rce(mim_rx_rce),
161
    .wdata(mim_rx_wdata),
162
    .raddr(mim_rx_raddr),
163
    .rdata(mim_rx_rdata)
164
   );
165
 
166
endmodule // pcie_bram_top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.