OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x4/] [example_design/] [EP_MEM.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
 
2
//-----------------------------------------------------------------------------
3
//
4
// (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
5
//
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
//
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
//
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
//
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
//
50
//-----------------------------------------------------------------------------
51
// Project    : Virtex-6 Integrated Block for PCI Express
52
// File       : EP_MEM.v
53
// Version    : 1.7
54
 
55
//--------------------------------------------------------------------------------
56
//-- Filename: EP_MEM.v
57
//--
58
//-- Description: Endpoint Memory: 8KB organized as 4 x (512 DW) BlockRAM banks. 
59
//--              Block RAM Port A: Read Port
60
//--              Block RAM Port B: Write Port
61
//--
62
//--------------------------------------------------------------------------------
63
 
64
 
65
 
66
`timescale 1ns/1ns
67
 
68
module EP_MEM (
69
 
70
                      clk_i,
71
 
72
                      a_rd_a_i_0,  // [8:0]
73
                      a_rd_d_o_0,  // [31:0]
74
                      a_rd_en_i_0,
75
 
76
                      b_wr_a_i_0,  // [8:0]
77
                      b_wr_d_i_0,  // [31:0]
78
                      b_wr_en_i_0,
79
                      b_rd_d_o_0,  // [31:0]
80
                      b_rd_en_i_0,
81
 
82
                      a_rd_a_i_1,  // [8:0]
83
                      a_rd_d_o_1,  // [31:0]
84
                      a_rd_en_i_1,
85
 
86
                      b_wr_a_i_1,  // [8:0]
87
                      b_wr_d_i_1,  // [31:0]
88
                      b_wr_en_i_1,
89
                      b_rd_d_o_1,  // [31:0]
90
                      b_rd_en_i_1,
91
 
92
                      a_rd_a_i_2,  // [8:0]
93
                      a_rd_d_o_2,  // [31:0]
94
                      a_rd_en_i_2,
95
 
96
                      b_wr_a_i_2,  // [8:0]
97
                      b_wr_d_i_2,  // [31:0]
98
                      b_wr_en_i_2,
99
                      b_rd_d_o_2,  // [31:0]
100
                      b_rd_en_i_2,
101
 
102
                      a_rd_a_i_3,  // [8:0]
103
                      a_rd_d_o_3,  // [31:0]
104
                      a_rd_en_i_3,
105
 
106
                      b_wr_a_i_3,  // [8:0]
107
                      b_wr_d_i_3,  // [31:0]
108
                      b_wr_en_i_3,
109
                      b_rd_d_o_3,  // [31:0]
110
                      b_rd_en_i_3
111
 
112
                      );
113
 
114
    input             clk_i;
115
 
116
    input  [08:00]    a_rd_a_i_0;
117
    output [31:00]    a_rd_d_o_0;
118
    input             a_rd_en_i_0;
119
 
120
    input  [08:00]    b_wr_a_i_0;
121
    input  [31:00]    b_wr_d_i_0;
122
    input             b_wr_en_i_0;
123
    output [31:00]    b_rd_d_o_0;
124
    input             b_rd_en_i_0;
125
 
126
    input  [08:00]    a_rd_a_i_1;
127
    output [31:00]    a_rd_d_o_1;
128
    input             a_rd_en_i_1;
129
 
130
    input  [08:00]    b_wr_a_i_1;
131
    input  [31:00]    b_wr_d_i_1;
132
    input             b_wr_en_i_1;
133
    output [31:00]    b_rd_d_o_1;
134
    input             b_rd_en_i_1;
135
 
136
    input  [08:00]    a_rd_a_i_2;
137
    output [31:00]    a_rd_d_o_2;
138
    input             a_rd_en_i_2;
139
 
140
    input  [08:00]    b_wr_a_i_2;
141
    input  [31:00]    b_wr_d_i_2;
142
    input             b_wr_en_i_2;
143
    output [31:00]    b_rd_d_o_2;
144
    input             b_rd_en_i_2;
145
 
146
    input  [08:00]    a_rd_a_i_3;
147
    output [31:00]    a_rd_d_o_3;
148
    input             a_rd_en_i_3;
149
 
150
    input  [08:00]    b_wr_a_i_3;
151
    input  [31:00]    b_wr_d_i_3;
152
    input             b_wr_en_i_3;
153
    output [31:00]    b_rd_d_o_3;
154
    input             b_rd_en_i_3;
155
 
156
    //----------------------------------------------------------------
157
    //
158
    //  4 x 512 DWs Buffer Banks (512 x 32 bits + 512 x 4 bits)
159
    //
160
    //----------------------------------------------------------------
161
 
162
 
163
   RAMB36 #(
164
      .DOA_REG(1),  // Optional output registers on A port (0 or 1)
165
      .DOB_REG(1),  // Optional output registers on B port (0 or 1)
166
      .INIT_A(36'h000000000),  // Initial values on A output port
167
      .INIT_B(36'h000000000),  // Initial values on B output port
168
      .RAM_EXTENSION_A("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
169
      .RAM_EXTENSION_B("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
170
      .READ_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
171
      .READ_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
172
      .SIM_COLLISION_CHECK("ALL"),  // Collision check enable "ALL", "WARNING_ONLY", 
173
                                    //   "GENERATE_X_ONLY" or "NONE
174
      .SRVAL_A(36'h000000000), // Set/Reset value for A port output
175
      .SRVAL_B(36'h000000000),  // Set/Reset value for B port output
176
      .WRITE_MODE_A("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
177
      .WRITE_MODE_B("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
178
      .WRITE_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
179
      .WRITE_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
180
 
181
      // The following INIT_xx declarations specify the initial contents of the RAM
182
      .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
183
      .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
184
      .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
185
      .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
186
      .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
187
      .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
188
      .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
189
      .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
190
      .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
191
      .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
192
      .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
193
      .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
194
      .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
195
      .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
196
      .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
197
      .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
198
      .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
199
      .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
200
      .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
201
      .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
202
      .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
203
      .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
204
      .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
205
      .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
206
      .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
207
      .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
208
      .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
209
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
210
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
211
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
212
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
213
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
214
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
215
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
216
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
217
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
218
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
219
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
220
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
221
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
222
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
223
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
224
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
225
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
226
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
227
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
228
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
229
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
230
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
231
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
232
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
233
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
234
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
235
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
236
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
237
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
238
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
239
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
240
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
241
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
242
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
243
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
244
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
245
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
246
      .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
247
      .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
248
      .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
249
      .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
250
      .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
251
      .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
252
      .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
253
      .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
254
      .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
255
      .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
256
      .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
257
      .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
258
      .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
259
      .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
260
      .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
261
      .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
262
      .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
263
      .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
264
      .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
265
      .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
266
      .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
267
      .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
268
      .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
269
      .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
270
      .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
271
      .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
272
      .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
273
      .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
274
      .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
275
      .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
276
      .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
277
      .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
278
      .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
279
      .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
280
      .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
281
      .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
282
      .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
283
      .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
284
      .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
285
      .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
286
      .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
287
      .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
288
      .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
289
      .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
290
      .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
291
      .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
292
      .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
293
      .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
294
      .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
295
      .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
296
      .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
297
      .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
298
      .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
299
      .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
300
      .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
301
      .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
302
      .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
303
      .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
304
      .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
305
      .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
306
      .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
307
      .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
308
      .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
309
      .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
310
 
311
      // The next set of INITP_xx are for the parity bits
312
      .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
313
      .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
314
      .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
315
      .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
316
      .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
317
      .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
318
      .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
319
      .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
320
      .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
321
      .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
322
      .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
323
      .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
324
      .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
325
      .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
326
      .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
327
      .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000)
328
   ) ep_io_mem (
329
      .DOA(a_rd_d_o_0[31:0]),      // 32-bit A port data output
330
      .DOB(b_rd_d_o_0[31:0]),      // 32-bit B port data output
331
      .DOPA(),    // 4-bit A port parity data output
332
      .DOPB(),    // 4-bit B port parity data output
333
      .ADDRA({1'b0,a_rd_a_i_0[8:0],6'b0}),  // 16-bit A port address input
334
      .ADDRB({1'b0,b_wr_a_i_0[8:0],6'b0}),  // 16-bit B port address input
335
      .CLKA(clk_i),     // 1-bit A port clock input
336
      .CLKB(clk_i),     // 1-bit B port clock input
337
      .DIA(32'b0),       // 32-bit A port data input
338
      .DIB(b_wr_d_i_0[31:0]),       // 32-bit B port data input
339
      .DIPA(4'b0000),     // 4-bit A port parity data input
340
      .DIPB(4'b0),     // 4-bit B port parity data input
341
      .ENA(a_rd_en_i_0),       // 1-bit A port enable input
342
      .ENB(b_rd_en_i_0),       // 1-bit B port enable input
343
      .REGCEA(1'b1), // 1-bit A port register enable input
344
      .REGCEB(1'b1), // 1-bit B port register enable input
345
      .SSRA(1'b0),     // 1-bit A port set/reset input
346
      .SSRB(1'b0),     // 1-bit B port set/reset input
347
      .WEA(4'b0),       // 4-bit A port write enable input
348
      .WEB({b_wr_en_i_0, b_wr_en_i_0, b_wr_en_i_0, b_wr_en_i_0}), // 4-bit B port write enable input
349
      .CASCADEINLATA  (1'b0),
350
      .CASCADEINREGA  (1'b0),
351
      .CASCADEOUTLATA (),
352
      .CASCADEOUTREGA (),
353
      .CASCADEINLATB  (1'b0),
354
      .CASCADEINREGB  (1'b0),
355
      .CASCADEOUTLATB (),
356
      .CASCADEOUTREGB ()
357
 
358
   );
359
 
360
 
361
   RAMB36 #(
362
      .DOA_REG(1),  // Optional output registers on A port (0 or 1)
363
      .DOB_REG(1),  // Optional output registers on B port (0 or 1)
364
      .INIT_A(36'h000000000),  // Initial values on A output port
365
      .INIT_B(36'h000000000),  // Initial values on B output port
366
      .RAM_EXTENSION_A("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
367
      .RAM_EXTENSION_B("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
368
      .READ_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
369
      .READ_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
370
      .SIM_COLLISION_CHECK("ALL"),  // Collision check enable "ALL", "WARNING_ONLY", 
371
                                    //   "GENERATE_X_ONLY" or "NONE
372
      .SRVAL_A(36'h000000000), // Set/Reset value for A port output
373
      .SRVAL_B(36'h000000000),  // Set/Reset value for B port output
374
      .WRITE_MODE_A("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
375
      .WRITE_MODE_B("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
376
      .WRITE_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
377
      .WRITE_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
378
 
379
      // The following INIT_xx declarations specify the initial contents of the RAM
380
      .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
381
      .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
382
      .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
383
      .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
384
      .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
385
      .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
386
      .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
387
      .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
388
      .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
389
      .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
390
      .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
391
      .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
392
      .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
393
      .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
394
      .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
395
      .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
396
      .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
397
      .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
398
      .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
399
      .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
400
      .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
401
      .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
402
      .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
403
      .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
404
      .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
405
      .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
406
      .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
407
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
408
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
409
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
410
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
411
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
412
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
413
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
414
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
415
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
416
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
417
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
418
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
419
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
420
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
421
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
422
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
423
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
424
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
425
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
426
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
427
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
428
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
429
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
430
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
431
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
432
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
433
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
434
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
435
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
436
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
437
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
438
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
439
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
440
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
441
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
442
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
443
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
444
      .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
445
      .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
446
      .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
447
      .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
448
      .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
449
      .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
450
      .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
451
      .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
452
      .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
453
      .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
454
      .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
455
      .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
456
      .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
457
      .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
458
      .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
459
      .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
460
      .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
461
      .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
462
      .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
463
      .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
464
      .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
465
      .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
466
      .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
467
      .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
468
      .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
469
      .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
470
      .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
471
      .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
472
      .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
473
      .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
474
      .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
475
      .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
476
      .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
477
      .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
478
      .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
479
      .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
480
      .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
481
      .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
482
      .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
483
      .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
484
      .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
485
      .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
486
      .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
487
      .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
488
      .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
489
      .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
490
      .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
491
      .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
492
      .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
493
      .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
494
      .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
495
      .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
496
      .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
497
      .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
498
      .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
499
      .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
500
      .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
501
      .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
502
      .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
503
      .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
504
      .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
505
      .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
506
      .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
507
      .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
508
 
509
      // The next set of INITP_xx are for the parity bits
510
      .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
511
      .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
512
      .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
513
      .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
514
      .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
515
      .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
516
      .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
517
      .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
518
      .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
519
      .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
520
      .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
521
      .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
522
      .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
523
      .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
524
      .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
525
      .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000)
526
   ) ep_mem32 (
527
      .DOA(a_rd_d_o_1[31:0]),      // 32-bit A port data output
528
      .DOB(b_rd_d_o_1[31:0]),      // 32-bit B port data output
529
      .DOPA(),    // 4-bit A port parity data output
530
      .DOPB(),    // 4-bit B port parity data output
531
      .ADDRA({1'b0,a_rd_a_i_1[8:0],6'b0}),  // 16-bit A port address input
532
      .ADDRB({1'b0,b_wr_a_i_1[8:0],6'b0}),  // 16-bit B port address input
533
      .CLKA(clk_i),     // 1-bit A port clock input
534
      .CLKB(clk_i),     // 1-bit B port clock input
535
      .DIA(32'b0),       // 32-bit A port data input
536
      .DIB(b_wr_d_i_1[31:0]),       // 32-bit B port data input
537
      .DIPA(4'b0000),     // 4-bit A port parity data input
538
      .DIPB(4'b0),     // 4-bit B port parity data input
539
      .ENA(a_rd_en_i_1),       // 1-bit A port enable input
540
      .ENB(b_rd_en_i_1),       // 1-bit B port enable input
541
      .REGCEA(1'b1), // 1-bit A port register enable input
542
      .REGCEB(1'b1), // 1-bit B port register enable input
543
      .SSRA(1'b0),     // 1-bit A port set/reset input
544
      .SSRB(1'b0),     // 1-bit B port set/reset input
545
      .WEA(4'b0),       // 4-bit A port write enable input
546
      .WEB({b_wr_en_i_1, b_wr_en_i_1, b_wr_en_i_1, b_wr_en_i_1}), // 4-bit B port write enable input
547
      .CASCADEINLATA  (1'b0),
548
      .CASCADEINREGA  (1'b0),
549
      .CASCADEOUTLATA (),
550
      .CASCADEOUTREGA (),
551
      .CASCADEINLATB  (1'b0),
552
      .CASCADEINREGB  (1'b0),
553
      .CASCADEOUTLATB (),
554
      .CASCADEOUTREGB ()
555
   );
556
 
557
 
558
 
559
   RAMB36 #(
560
      .DOA_REG(1),  // Optional output registers on A port (0 or 1)
561
      .DOB_REG(1),  // Optional output registers on B port (0 or 1)
562
      .INIT_A(36'h000000000),  // Initial values on A output port
563
      .INIT_B(36'h000000000),  // Initial values on B output port
564
      .RAM_EXTENSION_A("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
565
      .RAM_EXTENSION_B("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
566
      .READ_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
567
      .READ_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
568
      .SIM_COLLISION_CHECK("ALL"),  // Collision check enable "ALL", "WARNING_ONLY", 
569
                                    //   "GENERATE_X_ONLY" or "NONE
570
      .SRVAL_A(36'h000000000), // Set/Reset value for A port output
571
      .SRVAL_B(36'h000000000),  // Set/Reset value for B port output
572
      .WRITE_MODE_A("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
573
      .WRITE_MODE_B("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
574
      .WRITE_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
575
      .WRITE_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
576
 
577
      // The following INIT_xx declarations specify the initial contents of the RAM
578
      .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
579
      .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
580
      .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
581
      .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
582
      .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
583
      .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
584
      .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
585
      .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
586
      .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
587
      .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
588
      .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
589
      .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
590
      .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
591
      .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
592
      .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
593
      .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
594
      .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
595
      .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
596
      .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
597
      .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
598
      .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
599
      .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
600
      .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
601
      .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
602
      .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
603
      .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
604
      .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
605
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
606
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
607
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
608
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
609
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
610
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
611
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
612
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
613
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
614
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
615
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
616
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
617
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
618
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
619
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
620
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
621
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
622
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
623
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
624
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
625
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
626
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
627
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
628
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
629
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
630
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
631
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
632
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
633
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
634
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
635
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
636
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
637
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
638
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
639
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
640
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
641
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
642
      .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
643
      .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
644
      .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
645
      .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
646
      .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
647
      .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
648
      .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
649
      .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
650
      .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
651
      .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
652
      .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
653
      .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
654
      .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
655
      .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
656
      .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
657
      .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
658
      .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
659
      .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
660
      .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
661
      .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
662
      .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
663
      .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
664
      .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
665
      .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
666
      .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
667
      .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
668
      .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
669
      .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
670
      .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
671
      .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
672
      .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
673
      .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
674
      .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
675
      .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
676
      .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
677
      .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
678
      .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
679
      .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
680
      .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
681
      .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
682
      .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
683
      .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
684
      .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
685
      .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
686
      .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
687
      .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
688
      .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
689
      .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
690
      .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
691
      .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
692
      .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
693
      .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
694
      .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
695
      .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
696
      .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
697
      .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
698
      .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
699
      .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
700
      .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
701
      .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
702
      .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
703
      .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
704
      .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
705
      .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
706
 
707
      // The next set of INITP_xx are for the parity bits
708
      .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
709
      .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
710
      .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
711
      .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
712
      .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
713
      .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
714
      .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
715
      .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
716
      .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
717
      .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
718
      .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
719
      .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
720
      .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
721
      .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
722
      .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
723
      .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000)
724
   ) ep_mem64 (
725
      .DOA(a_rd_d_o_2[31:0]),      // 32-bit A port data output
726
      .DOB(b_rd_d_o_2[31:0]),      // 32-bit B port data output
727
      .DOPA(),    // 4-bit A port parity data output
728
      .DOPB(),    // 4-bit B port parity data output
729
      .ADDRA({1'b0,a_rd_a_i_2[8:0],6'b0}),  // 16-bit A port address input
730
      .ADDRB({1'b0,b_wr_a_i_2[8:0],6'b0}),  // 16-bit B port address input
731
      .CLKA(clk_i),     // 1-bit A port clock input
732
      .CLKB(clk_i),     // 1-bit B port clock input
733
      .DIA(32'b0),       // 32-bit A port data input
734
      .DIB(b_wr_d_i_2[31:0]),       // 32-bit B port data input
735
      .DIPA(4'b0000),     // 4-bit A port parity data input
736
      .DIPB(4'b0),     // 4-bit B port parity data input
737
      .ENA(a_rd_en_i_2),       // 1-bit A port enable input
738
      .ENB(b_rd_en_i_2),       // 1-bit B port enable input
739
      .REGCEA(1'b1), // 1-bit A port register enable input
740
      .REGCEB(1'b1), // 1-bit B port register enable input
741
      .SSRA(1'b0),     // 1-bit A port set/reset input
742
      .SSRB(1'b0),     // 1-bit B port set/reset input
743
      .WEA(4'b0),       // 4-bit A port write enable input
744
      .WEB({b_wr_en_i_2, b_wr_en_i_2, b_wr_en_i_2, b_wr_en_i_2}),  // 4-bit B port write enable input
745
      .CASCADEINLATA  (1'b0),
746
      .CASCADEINREGA  (1'b0),
747
      .CASCADEOUTLATA (),
748
      .CASCADEOUTREGA (),
749
      .CASCADEINLATB  (1'b0),
750
      .CASCADEINREGB  (1'b0),
751
      .CASCADEOUTLATB (),
752
      .CASCADEOUTREGB ()
753
   );
754
 
755
 
756
 
757
   RAMB36 #(
758
      .DOA_REG(1),  // Optional output registers on A port (0 or 1)
759
      .DOB_REG(1),  // Optional output registers on B port (0 or 1)
760
      .INIT_A(36'h000000000),  // Initial values on A output port
761
      .INIT_B(36'h000000000),  // Initial values on B output port
762
      .RAM_EXTENSION_A("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
763
      .RAM_EXTENSION_B("NONE"),  // "UPPER", "LOWER" or "NONE" when cascaded
764
      .READ_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
765
      .READ_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
766
      .SIM_COLLISION_CHECK("ALL"),  // Collision check enable "ALL", "WARNING_ONLY", 
767
                                    //   "GENERATE_X_ONLY" or "NONE
768
      .SRVAL_A(36'h000000000), // Set/Reset value for A port output
769
      .SRVAL_B(36'h000000000),  // Set/Reset value for B port output
770
      .WRITE_MODE_A("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
771
      .WRITE_MODE_B("WRITE_FIRST"),  // "WRITE_FIRST", "READ_FIRST", or "NO_CHANGE
772
      .WRITE_WIDTH_A(36),  // Valid values are 1, 2, 4, 9, 18, or 36
773
      .WRITE_WIDTH_B(36),  // Valid values are 1, 2, 4, 9, 18, or 36
774
 
775
      // The following INIT_xx declarations specify the initial contents of the RAM
776
      .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
777
      .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
778
      .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
779
      .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
780
      .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
781
      .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
782
      .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
783
      .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
784
      .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
785
      .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
786
      .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
787
      .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
788
      .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
789
      .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
790
      .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
791
      .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
792
      .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
793
      .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
794
      .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
795
      .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
796
      .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
797
      .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
798
      .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
799
      .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
800
      .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
801
      .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
802
      .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
803
      .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
804
      .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
805
      .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
806
      .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
807
      .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
808
      .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
809
      .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
810
      .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
811
      .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
812
      .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
813
      .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
814
      .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
815
      .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
816
      .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
817
      .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
818
      .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
819
      .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
820
      .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
821
      .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
822
      .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
823
      .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
824
      .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
825
      .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
826
      .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
827
      .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
828
      .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
829
      .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
830
      .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
831
      .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
832
      .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
833
      .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
834
      .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
835
      .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
836
      .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
837
      .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
838
      .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
839
      .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
840
      .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
841
      .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
842
      .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
843
      .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
844
      .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
845
      .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
846
      .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
847
      .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
848
      .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
849
      .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
850
      .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
851
      .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
852
      .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
853
      .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
854
      .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
855
      .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
856
      .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
857
      .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
858
      .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
859
      .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
860
      .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
861
      .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
862
      .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
863
      .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
864
      .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
865
      .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
866
      .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
867
      .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
868
      .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
869
      .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
870
      .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
871
      .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
872
      .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
873
      .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
874
      .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
875
      .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
876
      .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
877
      .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
878
      .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
879
      .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
880
      .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
881
      .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
882
      .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
883
      .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
884
      .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
885
      .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
886
      .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
887
      .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
888
      .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
889
      .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
890
      .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
891
      .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
892
      .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
893
      .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
894
      .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
895
      .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
896
      .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
897
      .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
898
      .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
899
      .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
900
      .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
901
      .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
902
      .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
903
      .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
904
 
905
      // The next set of INITP_xx are for the parity bits
906
      .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
907
      .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
908
      .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
909
      .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
910
      .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
911
      .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
912
      .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
913
      .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
914
      .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
915
      .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
916
      .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
917
      .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
918
      .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
919
      .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
920
      .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
921
      .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000)
922
   ) ep_mem_erom (
923
      .DOA(a_rd_d_o_3[31:0]),      // 32-bit A port data output
924
      .DOB(b_rd_d_o_3[31:0]),      // 32-bit B port data output
925
      .DOPA(DOPA),    // 4-bit A port parity data output
926
      .DOPB(DOPB),    // 4-bit B port parity data output
927
      .ADDRA({1'b0,a_rd_a_i_3[8:0],6'b0}),  // 16-bit A port address input
928
      .ADDRB({1'b0,b_wr_a_i_3[8:0],6'b0}),  // 16-bit B port address input
929
      .CLKA(clk_i),     // 1-bit A port clock input
930
      .CLKB(clk_i),     // 1-bit B port clock input
931
      .DIA(32'b0),       // 32-bit A port data input
932
      .DIB(b_wr_d_i_3[31:0]),       // 32-bit B port data input
933
      .DIPA(4'b0000),     // 4-bit A port parity data input
934
      .DIPB(4'b0),     // 4-bit B port parity data input
935
      .ENA(a_rd_en_i_3),       // 1-bit A port enable input
936
      .ENB(b_rd_en_i_3),       // 1-bit B port enable input
937
      .REGCEA(1'b1), // 1-bit A port register enable input
938
      .REGCEB(1'b1), // 1-bit B port register enable input
939
      .SSRA(1'b0),     // 1-bit A port set/reset input
940
      .SSRB(1'b0),     // 1-bit B port set/reset input
941
      .WEA(4'b0),       // 4-bit A port write enable input
942
      .WEB({b_wr_en_i_3, b_wr_en_i_3, b_wr_en_i_3, b_wr_en_i_3}),  // 4-bit B port write enable input
943
      .CASCADEINLATA  (1'b0),
944
      .CASCADEINREGA  (1'b0),
945
      .CASCADEOUTLATA (),
946
      .CASCADEOUTREGA (),
947
      .CASCADEINLATB  (1'b0),
948
      .CASCADEINREGB  (1'b0),
949
      .CASCADEOUTLATB (),
950
      .CASCADEOUTREGB ()
951
   );
952
 
953
 
954
 
955
endmodule
956
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.