OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x4/] [example_design/] [PIO.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
-------------------------------------------------------------------------------
2
--
3
-- (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
--
5
-- This file contains confidential and proprietary information
6
-- of Xilinx, Inc. and is protected under U.S. and
7
-- international copyright and other intellectual property
8
-- laws.
9
--
10
-- DISCLAIMER
11
-- This disclaimer is not a license and does not grant any
12
-- rights to the materials distributed herewith. Except as
13
-- otherwise provided in a valid license issued to you by
14
-- Xilinx, and to the maximum extent permitted by applicable
15
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
-- (2) Xilinx shall not be liable (whether in contract or tort,
21
-- including negligence, or under any other theory of
22
-- liability) for any loss or damage of any kind or nature
23
-- related to, arising under or in connection with these
24
-- materials, including for any direct, or any indirect,
25
-- special, incidental, or consequential loss or damage
26
-- (including loss of data, profits, goodwill, or any type of
27
-- loss or damage suffered as a result of any action brought
28
-- by a third party) even if such damage or loss was
29
-- reasonably foreseeable or Xilinx had been advised of the
30
-- possibility of the same.
31
--
32
-- CRITICAL APPLICATIONS
33
-- Xilinx products are not designed or intended to be fail-
34
-- safe, or for use in any application requiring fail-safe
35
-- performance, such as life-support or safety devices or
36
-- systems, Class III medical devices, nuclear facilities,
37
-- applications related to the deployment of airbags, or any
38
-- other applications that could lead to death, personal
39
-- injury, or severe property or environmental damage
40
-- (individually and collectively, "Critical
41
-- Applications"). Customer assumes the sole risk and
42
-- liability of any use of Xilinx products in Critical
43
-- Applications, subject only to applicable laws and
44
-- regulations governing limitations on product liability.
45
--
46
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
-- PART OF THIS FILE AT ALL TIMES.
48
--
49
-------------------------------------------------------------------------------
50
-- Project    : Virtex-6 Integrated Block for PCI Express
51
-- File       : PIO.vhd
52
-- Version    : 1.7
53
----
54
---- Description: Programmed I/O module. Design implements 8 KBytes of programmable
55
----              memory space. Host processor can access this memory space using
56
----              Memory Read 32 and Memory Write 32 TLPs. Design accepts 
57
----              1 Double Word (DW) payload length on Memory Write 32 TLP and
58
----              responds to 1 DW length Memory Read 32 TLPs with a Completion
59
----              with Data TLP (1DW payload).
60
----              
61
----              Module is designed to operate with 32 bit and 64 bit interfaces.
62
----
63
----------------------------------------------------------------------------------
64
 
65
library ieee;
66
use ieee.std_logic_1164.all;
67
 
68
 
69
entity PIO is
70
 
71
port (
72
 
73
  trn_clk                : in std_logic;
74
  trn_reset_n            : in std_logic;
75
  trn_lnk_up_n           : in std_logic;
76
 
77
  trn_td                 : out std_logic_vector(63 downto 0);
78
  trn_trem_n             : out std_logic_vector(7 downto 0);
79
 
80
  trn_tsof_n             : out std_logic;
81
  trn_teof_n             : out std_logic;
82
  trn_tsrc_rdy_n         : out std_logic;
83
  trn_tsrc_dsc_n         : out std_logic;
84
  trn_tdst_rdy_n         : in std_logic;
85
  trn_tdst_dsc_n         : in std_logic;
86
 
87
  trn_rd                 : in std_logic_vector(63 downto 0);
88
  trn_rrem_n             : in std_logic_vector(7 downto 0);
89
  trn_rsof_n             : in std_logic;
90
  trn_reof_n             : in std_logic;
91
  trn_rsrc_rdy_n         : in std_logic;
92
  trn_rsrc_dsc_n         : in std_logic;
93
  trn_rbar_hit_n         : in std_logic_vector(6 downto 0);
94
  trn_rdst_rdy_n         : out std_logic;
95
  cfg_to_turnoff_n       : in std_logic;
96
  cfg_turnoff_ok_n       : out std_logic;
97
 
98
  cfg_completer_id       : in std_logic_vector(15 downto 0);
99
  cfg_bus_mstr_enable    : in std_logic
100
 
101
);
102
 
103
end PIO;
104
 
105
architecture rtl of PIO is
106
 
107
-- Local wires
108
 
109
signal req_compl      : std_logic;
110
signal compl_done     : std_logic;
111
signal pio_reset_n    : std_logic;
112
 
113
component PIO_EP
114
 
115
port (
116
 
117
  clk                    : in std_logic;
118
  rst_n                  : in std_logic;
119
 
120
  -- LocalLink Tx
121
 
122
  trn_td                 : out std_logic_vector(63 downto 0);
123
  trn_trem_n             : out std_logic_vector(7 downto 0);
124
 
125
  trn_tsof_n             : out std_logic;
126
  trn_teof_n             : out std_logic;
127
  trn_tsrc_dsc_n         : out std_logic;
128
  trn_tsrc_rdy_n         : out std_logic;
129
  trn_tdst_dsc_n         : in std_logic;
130
  trn_tdst_rdy_n         : in std_logic;
131
 
132
  -- LocalLink Rx
133
 
134
  trn_rd                 : in std_logic_vector(63 downto 0);
135
  trn_rrem_n             : in std_logic_vector(7 downto 0);
136
 
137
  trn_rsof_n             : in std_logic;
138
  trn_reof_n             : in std_logic;
139
  trn_rsrc_rdy_n         : in std_logic;
140
  trn_rsrc_dsc_n         : in std_logic;
141
  trn_rbar_hit_n         : in std_logic_vector(6 downto 0);
142
  trn_rdst_rdy_n         : out std_logic;
143
 
144
  req_compl_o            : out std_logic;
145
  compl_done_o           : out std_logic;
146
 
147
  cfg_completer_id       : in std_logic_vector(15 downto 0);
148
  cfg_bus_mstr_enable    : in std_logic
149
);
150
end component;
151
 
152
 
153
component PIO_TO_CTRL
154
port (
155
 
156
  clk : in std_logic;
157
  rst_n : in std_logic;
158
 
159
  req_compl_i : in std_logic;
160
  compl_done_i : in std_logic;
161
 
162
  cfg_to_turnoff_n : in std_logic;
163
  cfg_turnoff_ok_n : out std_logic
164
);
165
end component;
166
 
167
begin
168
 
169
pio_reset_n  <= not trn_lnk_up_n;
170
 
171
-- PIO instance
172
 
173
PIO_EP_ins : PIO_EP
174
 
175
port map (
176
 
177
  clk => trn_clk,                            -- I
178
  rst_n => pio_reset_n,                      -- I
179
 
180
  trn_td => trn_td,                          -- O [127/63:0]
181
  trn_trem_n => trn_trem_n,                  -- O [1/0:0]
182
  trn_tsof_n => trn_tsof_n,                  -- O
183
  trn_teof_n => trn_teof_n,                  -- O
184
  trn_tsrc_rdy_n => trn_tsrc_rdy_n,          -- O
185
  trn_tsrc_dsc_n => trn_tsrc_dsc_n,          -- O
186
  trn_tdst_rdy_n => trn_tdst_rdy_n,          -- I
187
  trn_tdst_dsc_n => trn_tdst_dsc_n,          -- I
188
 
189
  trn_rd => trn_rd,                          -- I [127/63:0]
190
  trn_rrem_n => trn_rrem_n,                  -- I [1/0:0]
191
  trn_rsof_n => trn_rsof_n,                  -- I
192
  trn_reof_n => trn_reof_n,                  -- I
193
  trn_rsrc_rdy_n => trn_rsrc_rdy_n,          -- I
194
  trn_rsrc_dsc_n => trn_rsrc_dsc_n,          -- I
195
  trn_rbar_hit_n => trn_rbar_hit_n,          -- I
196
  trn_rdst_rdy_n => trn_rdst_rdy_n,          -- O
197
 
198
  req_compl_o => req_compl,                  -- O
199
  compl_done_o => compl_done,                -- O
200
 
201
  cfg_completer_id => cfg_completer_id,      -- I [15:0]
202
  cfg_bus_mstr_enable => cfg_bus_mstr_enable -- I
203
 
204
);
205
 
206
 
207
    --
208
    -- Turn-Off controller
209
    --
210
 
211
PIO_TO : PIO_TO_CTRL port map   (
212
 
213
   clk => trn_clk,                             -- I
214
   rst_n => trn_reset_n,                       -- I
215
 
216
   req_compl_i => req_compl,                   -- I
217
   compl_done_i => compl_done,                 -- I
218
 
219
   cfg_to_turnoff_n => cfg_to_turnoff_n,       -- I
220
   cfg_turnoff_ok_n => cfg_turnoff_ok_n        -- O
221
 
222
);
223
 
224
end;  -- PIO

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.