OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x4/] [simulation/] [dsport/] [pci_exp_usrapp_tx.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
-------------------------------------------------------------------------------
2
--
3
-- (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
--
5
-- This file contains confidential and proprietary information
6
-- of Xilinx, Inc. and is protected under U.S. and
7
-- international copyright and other intellectual property
8
-- laws.
9
--
10
-- DISCLAIMER
11
-- This disclaimer is not a license and does not grant any
12
-- rights to the materials distributed herewith. Except as
13
-- otherwise provided in a valid license issued to you by
14
-- Xilinx, and to the maximum extent permitted by applicable
15
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
-- (2) Xilinx shall not be liable (whether in contract or tort,
21
-- including negligence, or under any other theory of
22
-- liability) for any loss or damage of any kind or nature
23
-- related to, arising under or in connection with these
24
-- materials, including for any direct, or any indirect,
25
-- special, incidental, or consequential loss or damage
26
-- (including loss of data, profits, goodwill, or any type of
27
-- loss or damage suffered as a result of any action brought
28
-- by a third party) even if such damage or loss was
29
-- reasonably foreseeable or Xilinx had been advised of the
30
-- possibility of the same.
31
--
32
-- CRITICAL APPLICATIONS
33
-- Xilinx products are not designed or intended to be fail-
34
-- safe, or for use in any application requiring fail-safe
35
-- performance, such as life-support or safety devices or
36
-- systems, Class III medical devices, nuclear facilities,
37
-- applications related to the deployment of airbags, or any
38
-- other applications that could lead to death, personal
39
-- injury, or severe property or environmental damage
40
-- (individually and collectively, "Critical
41
-- Applications"). Customer assumes the sole risk and
42
-- liability of any use of Xilinx products in Critical
43
-- Applications, subject only to applicable laws and
44
-- regulations governing limitations on product liability.
45
--
46
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
-- PART OF THIS FILE AT ALL TIMES.
48
--
49
-------------------------------------------------------------------------------
50
-- Project    : Virtex-6 Integrated Block for PCI Express
51
-- File       : pci_exp_usrapp_tx.vhd
52
-- Version    : 1.7
53
-- Filename: pci_exp_usrapp_tx.vhd
54
--
55
-- Description:  PCI Express dsport Tx interface.
56
--
57
------------------------------------------------------------------------------
58
 
59
library ieee;
60
use ieee.std_logic_1164.all;
61
use ieee.std_logic_textio.all;
62
use ieee.numeric_std.all;
63
 
64
library std;
65
use std.textio.all;
66
 
67
entity pci_exp_usrapp_tx is
68
 
69
port (
70
 
71
  trn_td                   : out std_logic_vector (63 downto 0 );
72
  trn_trem_n               : out std_logic_vector (7 downto 0 );
73
  trn_tsof_n               : out std_logic;
74
  trn_teof_n               : out std_logic;
75
  trn_terrfwd_n            : out std_logic;
76
  trn_tsrc_rdy_n           : out std_logic;
77
  trn_tsrc_dsc_n           : out std_logic;
78
  trn_clk                  : in std_logic;
79
  trn_reset_n              : in std_logic;
80
  trn_lnk_up_n             : in std_logic;
81
  trn_tdst_rdy_n           : in std_logic;
82
  trn_tdst_dsc_n           : in std_logic;
83
  trn_tbuf_av              : in std_logic_vector (5 downto 0);
84
  rx_tx_read_data          : in std_logic_vector(31 downto 0);
85
  rx_tx_read_data_valid    : in std_logic;
86
  tx_rx_read_data_valid    : out std_logic
87
 
88
);
89
 
90
end pci_exp_usrapp_tx;
91
 
92
architecture rtl of pci_exp_usrapp_tx is
93
 
94
component tests
95
generic (
96
  test_selector : in string  := String'("sample_smoke_test0")
97
 );
98
port (
99
 
100
  trn_td                   : out std_logic_vector (63 downto 0 );
101
  trn_trem_n               : out std_logic_vector (7 downto 0 );
102
  trn_tsof_n               : out std_logic;
103
  trn_teof_n               : out std_logic;
104
  trn_terrfwd_n            : out std_logic;
105
  trn_tsrc_rdy_n           : out std_logic;
106
  trn_tsrc_dsc_n           : out std_logic;
107
  trn_clk                  : in std_logic;
108
  trn_reset_n              : in std_logic;
109
  trn_lnk_up_n             : in std_logic;
110
  trn_tdst_rdy_n           : in std_logic;
111
  trn_tdst_dsc_n           : in std_logic;
112
  trn_tbuf_av              : in std_logic_vector(5 downto 0);
113
  rx_tx_read_data          : in std_logic_vector(31 downto 0);
114
  rx_tx_read_data_valid    : in std_logic;
115
  tx_rx_read_data_valid    : out std_logic
116
 
117
);
118
 
119
end component;
120
 
121
 
122
begin
123
 
124
 TESTS_INST :  tests
125
generic map (
126
 
127
  test_selector => String'("sample_smoke_test0")
128
 
129
 )
130
port map (
131
 
132
  trn_td => trn_td,
133
  trn_trem_n => trn_trem_n,
134
  trn_tsof_n => trn_tsof_n,
135
  trn_teof_n => trn_teof_n,
136
  trn_terrfwd_n => trn_terrfwd_n,
137
  trn_tsrc_rdy_n => trn_tsrc_rdy_n,
138
  trn_tsrc_dsc_n => trn_tsrc_dsc_n,
139
  trn_clk => trn_clk,
140
  trn_reset_n => trn_reset_n,
141
  trn_lnk_up_n => trn_lnk_up_n,
142
  trn_tdst_rdy_n => trn_tdst_rdy_n,
143
  trn_tdst_dsc_n => trn_tdst_dsc_n,
144
  trn_tbuf_av => trn_tbuf_av,
145
 
146
  rx_tx_read_data => rx_tx_read_data,
147
  rx_tx_read_data_valid => rx_tx_read_data_valid,
148
  tx_rx_read_data_valid => tx_rx_read_data_valid
149
 
150
);
151
 
152
end; -- pci_exp_usrapp_tx

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.