OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_pcie_v1_7_x4/] [simulation/] [dsport/] [pcie_2_0_rport_v6.v] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
//-----------------------------------------------------------------------------
2
//
3
// (c) Copyright 2009-2011 Xilinx, Inc. All rights reserved.
4
//
5
// This file contains confidential and proprietary information
6
// of Xilinx, Inc. and is protected under U.S. and
7
// international copyright and other intellectual property
8
// laws.
9
//
10
// DISCLAIMER
11
// This disclaimer is not a license and does not grant any
12
// rights to the materials distributed herewith. Except as
13
// otherwise provided in a valid license issued to you by
14
// Xilinx, and to the maximum extent permitted by applicable
15
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
// (2) Xilinx shall not be liable (whether in contract or tort,
21
// including negligence, or under any other theory of
22
// liability) for any loss or damage of any kind or nature
23
// related to, arising under or in connection with these
24
// materials, including for any direct, or any indirect,
25
// special, incidental, or consequential loss or damage
26
// (including loss of data, profits, goodwill, or any type of
27
// loss or damage suffered as a result of any action brought
28
// by a third party) even if such damage or loss was
29
// reasonably foreseeable or Xilinx had been advised of the
30
// possibility of the same.
31
//
32
// CRITICAL APPLICATIONS
33
// Xilinx products are not designed or intended to be fail-
34
// safe, or for use in any application requiring fail-safe
35
// performance, such as life-support or safety devices or
36
// systems, Class III medical devices, nuclear facilities,
37
// applications related to the deployment of airbags, or any
38
// other applications that could lead to death, personal
39
// injury, or severe property or environmental damage
40
// (individually and collectively, "Critical
41
// Applications"). Customer assumes the sole risk and
42
// liability of any use of Xilinx products in Critical
43
// Applications, subject only to applicable laws and
44
// regulations governing limitations on product liability.
45
//
46
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
// PART OF THIS FILE AT ALL TIMES.
48
//
49
//-----------------------------------------------------------------------------
50
// Project    : Virtex-6 Integrated Block for PCI Express
51
// File       : pcie_2_0_rport_v6.v
52
// Version    : 1.7
53
//-- Description: Virtex6 solution wrapper : Root Port for PCI Express
54
//--
55
//--
56
//--
57
//--------------------------------------------------------------------------------
58
 
59
`timescale 1ns/1ns
60
 
61
module pcie_2_0_rport_v6 # (
62
 
63
  parameter        REF_CLK_FREQ = 0,                        // 0 - 100 MHz, 1 - 125 MHz, 2 - 250 MHz
64
  parameter        PIPE_PIPELINE_STAGES = 0,                // 0 - 0 stages, 1 - 1 stage, 2 - 2 stages
65
  parameter        PCIE_DRP_ENABLE = "FALSE",
66
  parameter        DS_PORT_HOT_RST = "FALSE",               // FALSE - for ROOT PORT(default), TRUE - for DOWNSTREAM PORT 
67
  parameter        AER_BASE_PTR = 12'h128,
68
  parameter        AER_CAP_ECRC_CHECK_CAPABLE = "FALSE",
69
  parameter        AER_CAP_ECRC_GEN_CAPABLE = "FALSE",
70
  parameter        AER_CAP_ID = 16'h0001,
71
  parameter        AER_CAP_INT_MSG_NUM_MSI = 5'h0a,
72
  parameter        AER_CAP_INT_MSG_NUM_MSIX = 5'h15,
73
  parameter        AER_CAP_NEXTPTR = 12'h160,
74
  parameter        AER_CAP_ON = "FALSE",
75
  parameter        AER_CAP_PERMIT_ROOTERR_UPDATE = "TRUE",
76
  parameter        AER_CAP_VERSION = 4'h1,
77
  parameter        ALLOW_X8_GEN2 = "FALSE",
78
  parameter        BAR0 = 32'h00000000,  // Memory aperture disabled
79
  parameter        BAR1 = 32'h00000000,  // Memory aperture disabled
80
  parameter        BAR2 = 32'h00ffffff,  // Constant for rport 
81
  parameter        BAR3 = 32'hffff0000,  // IO Limit/Base Registers not implemented
82
  parameter        BAR4 = 32'hfff0fff0,  // Constant for rport
83
  parameter        BAR5 = 32'hFFF1_FFF1, // Prefetchable Memory Limit/Base Registers implemented
84
  parameter        CAPABILITIES_PTR = 8'h40,
85
  parameter        CARDBUS_CIS_POINTER = 32'h00000000,
86
  parameter        CLASS_CODE = 24'h060400,
87
  parameter        CMD_INTX_IMPLEMENTED = "TRUE",
88
  parameter        CPL_TIMEOUT_DISABLE_SUPPORTED = "FALSE",
89
  parameter        CPL_TIMEOUT_RANGES_SUPPORTED = 4'h0,
90
  parameter        CRM_MODULE_RSTS = 7'h00,
91
  parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE = "TRUE",
92
  parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE = "TRUE",
93
  parameter        DEV_CAP_ENDPOINT_L0S_LATENCY = 0,
94
  parameter        DEV_CAP_ENDPOINT_L1_LATENCY = 0,
95
  parameter        DEV_CAP_EXT_TAG_SUPPORTED = "TRUE",
96
  parameter        DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE = "FALSE",
97
  parameter        DEV_CAP_MAX_PAYLOAD_SUPPORTED = 1,
98
  parameter        DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT = 0,
99
  parameter        DEV_CAP_ROLE_BASED_ERROR = "TRUE",
100
  parameter        DEV_CAP_RSVD_14_12 = 0,
101
  parameter        DEV_CAP_RSVD_17_16 = 0,
102
  parameter        DEV_CAP_RSVD_31_29 = 0,
103
  parameter        DEV_CONTROL_AUX_POWER_SUPPORTED = "FALSE",
104
  parameter        DEVICE_ID = 16'h0007,
105
  parameter        DISABLE_ASPM_L1_TIMER = "FALSE",
106
  parameter        DISABLE_BAR_FILTERING = "TRUE",
107
  parameter        DISABLE_ID_CHECK = "TRUE",
108
  parameter        DISABLE_LANE_REVERSAL = "FALSE",
109
  parameter        DISABLE_RX_TC_FILTER = "TRUE",
110
  parameter        DISABLE_SCRAMBLING = "FALSE",
111
  parameter        DNSTREAM_LINK_NUM = 8'h00,
112
  parameter        DSN_BASE_PTR = 12'h100,
113
  parameter        DSN_CAP_ID = 16'h0003,
114
  parameter        DSN_CAP_NEXTPTR = 12'h10c,
115
  parameter        DSN_CAP_ON = "TRUE",
116
  parameter        DSN_CAP_VERSION = 4'h1,
117
  parameter        ENABLE_MSG_ROUTE = 11'h200,
118
  parameter        ENABLE_RX_TD_ECRC_TRIM = "FALSE",
119
  parameter        ENTER_RVRY_EI_L0 = "TRUE",
120
  parameter        EXPANSION_ROM = 32'h00000000, // Memory aperture disabled
121
  parameter        EXT_CFG_CAP_PTR = 6'h3f,
122
  parameter        EXT_CFG_XP_CAP_PTR = 10'h3ff,
123
  parameter        HEADER_TYPE = 8'h01,
124
  parameter        INFER_EI = 5'h0c,
125
  parameter        INTERRUPT_PIN = 8'h01,
126
  parameter        IS_SWITCH = "FALSE",
127
  parameter        LAST_CONFIG_DWORD = 10'h042,
128
  parameter        LINK_CAP_ASPM_SUPPORT = 1,
129
  parameter        LINK_CAP_CLOCK_POWER_MANAGEMENT = "FALSE",
130
  parameter        LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP = "FALSE",
131
  parameter        LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP = "FALSE",
132
  parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 = 7,
133
  parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 = 7,
134
  parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN1 = 7,
135
  parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN2 = 7,
136
  parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 = 7,
137
  parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 = 7,
138
  parameter        LINK_CAP_L1_EXIT_LATENCY_GEN1 = 7,
139
  parameter        LINK_CAP_L1_EXIT_LATENCY_GEN2 = 7,
140
  parameter        LINK_CAP_MAX_LINK_SPEED = 4'h1,
141
  parameter        LINK_CAP_MAX_LINK_WIDTH = 6'h08,
142
  parameter        LINK_CAP_RSVD_23_22 = 0,
143
  parameter        LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE = "FALSE",
144
  parameter        LINK_CONTROL_RCB = 0,
145
  parameter        LINK_CTRL2_DEEMPHASIS = "FALSE",
146
  parameter        LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE = "FALSE",
147
  parameter        LINK_CTRL2_TARGET_LINK_SPEED = LINK_CAP_MAX_LINK_SPEED,
148
  parameter        LINK_STATUS_SLOT_CLOCK_CONFIG = "TRUE",
149
  parameter        LL_ACK_TIMEOUT = 15'h0204,
150
  parameter        LL_ACK_TIMEOUT_EN = "FALSE",
151
  parameter        LL_ACK_TIMEOUT_FUNC = 0,
152
  parameter        LL_REPLAY_TIMEOUT = 15'h060d,
153
  parameter        LL_REPLAY_TIMEOUT_EN = "FALSE",
154
  parameter        LL_REPLAY_TIMEOUT_FUNC = 0,
155
  parameter        LTSSM_MAX_LINK_WIDTH = LINK_CAP_MAX_LINK_WIDTH,
156
  parameter        MSI_BASE_PTR = 8'h48,
157
  parameter        MSI_CAP_ID = 8'h05,
158
  parameter        MSI_CAP_MULTIMSGCAP = 0,
159
  parameter        MSI_CAP_MULTIMSG_EXTENSION = 0,
160
  parameter        MSI_CAP_NEXTPTR = 8'h60,
161
  parameter        MSI_CAP_ON = "TRUE",
162
  parameter        MSI_CAP_PER_VECTOR_MASKING_CAPABLE = "TRUE",
163
  parameter        MSI_CAP_64_BIT_ADDR_CAPABLE = "TRUE",
164
  parameter        MSIX_BASE_PTR = 8'h9c,
165
  parameter        MSIX_CAP_ID = 8'h11,
166
  parameter        MSIX_CAP_NEXTPTR = 8'h00,
167
  parameter        MSIX_CAP_ON = "TRUE",
168
  parameter        MSIX_CAP_PBA_BIR = 0,
169
  parameter        MSIX_CAP_PBA_OFFSET = 29'h00000050,
170
  parameter        MSIX_CAP_TABLE_BIR = 0,
171
  parameter        MSIX_CAP_TABLE_OFFSET = 29'h00000040,
172
  parameter        MSIX_CAP_TABLE_SIZE = 11'h000,
173
  parameter        N_FTS_COMCLK_GEN1 = 255,
174
  parameter        N_FTS_COMCLK_GEN2 = 254,
175
  parameter        N_FTS_GEN1 = 255,
176
  parameter        N_FTS_GEN2 = 255,
177
  parameter        PCIE_BASE_PTR = 8'h60,
178
  parameter        PCIE_CAP_CAPABILITY_ID = 8'h10,
179
  parameter        PCIE_CAP_CAPABILITY_VERSION = 4'h2,
180
  parameter        PCIE_CAP_DEVICE_PORT_TYPE = 4'h4,
181
  parameter        PCIE_CAP_INT_MSG_NUM = 5'h00,
182
  parameter        PCIE_CAP_NEXTPTR = 8'h9c,
183
  parameter        PCIE_CAP_ON = "TRUE",
184
  parameter        PCIE_CAP_RSVD_15_14 = 0,
185
  parameter        PCIE_CAP_SLOT_IMPLEMENTED = "TRUE",
186
  parameter        PCIE_REVISION = 2,
187
  parameter        PGL0_LANE = 0,
188
  parameter        PGL1_LANE = 1,
189
  parameter        PGL2_LANE = 2,
190
  parameter        PGL3_LANE = 3,
191
  parameter        PGL4_LANE = 4,
192
  parameter        PGL5_LANE = 5,
193
  parameter        PGL6_LANE = 6,
194
  parameter        PGL7_LANE = 7,
195
  parameter        PL_AUTO_CONFIG = 0,
196
  parameter        PL_FAST_TRAIN = "FALSE",
197
  parameter        PM_BASE_PTR = 8'h40,
198
  parameter        PM_CAP_AUXCURRENT = 0,
199
  parameter        PM_CAP_DSI = "FALSE",
200
  parameter        PM_CAP_D1SUPPORT = "TRUE",
201
  parameter        PM_CAP_D2SUPPORT = "TRUE",
202
  parameter        PM_CAP_ID = 8'h01,
203
  parameter        PM_CAP_NEXTPTR = 8'h48,
204
  parameter        PM_CAP_ON = "TRUE",
205
  parameter        PM_CAP_PME_CLOCK = "FALSE",
206
  parameter        PM_CAP_PMESUPPORT = 5'h0f,
207
  parameter        PM_CAP_RSVD_04 = 0,
208
  parameter        PM_CAP_VERSION = 3,
209
  parameter        PM_CSR_BPCCEN = "FALSE",
210
  parameter        PM_CSR_B2B3 = "FALSE",
211
  parameter        PM_CSR_NOSOFTRST = "TRUE",
212
  parameter        PM_DATA_SCALE0 = 2'h1,
213
  parameter        PM_DATA_SCALE1 = 2'h1,
214
  parameter        PM_DATA_SCALE2 = 2'h1,
215
  parameter        PM_DATA_SCALE3 = 2'h1,
216
  parameter        PM_DATA_SCALE4 = 2'h1,
217
  parameter        PM_DATA_SCALE5 = 2'h1,
218
  parameter        PM_DATA_SCALE6 = 2'h1,
219
  parameter        PM_DATA_SCALE7 = 2'h1,
220
  parameter        PM_DATA0 = 8'h01,
221
  parameter        PM_DATA1 = 8'h01,
222
  parameter        PM_DATA2 = 8'h01,
223
  parameter        PM_DATA3 = 8'h01,
224
  parameter        PM_DATA4 = 8'h01,
225
  parameter        PM_DATA5 = 8'h01,
226
  parameter        PM_DATA6 = 8'h01,
227
  parameter        PM_DATA7 = 8'h01,
228
  parameter        RECRC_CHK = 0,
229
  parameter        RECRC_CHK_TRIM = "FALSE",
230
  parameter        REVISION_ID = 8'h00,
231
  parameter        ROOT_CAP_CRS_SW_VISIBILITY = "FALSE",
232
  parameter        SELECT_DLL_IF = "FALSE",
233
  parameter        SLOT_CAP_ATT_BUTTON_PRESENT = "FALSE",
234
  parameter        SLOT_CAP_ATT_INDICATOR_PRESENT = "FALSE",
235
  parameter        SLOT_CAP_ELEC_INTERLOCK_PRESENT = "FALSE",
236
  parameter        SLOT_CAP_HOTPLUG_CAPABLE = "FALSE",
237
  parameter        SLOT_CAP_HOTPLUG_SURPRISE = "FALSE",
238
  parameter        SLOT_CAP_MRL_SENSOR_PRESENT = "FALSE",
239
  parameter        SLOT_CAP_NO_CMD_COMPLETED_SUPPORT = "FALSE",
240
  parameter        SLOT_CAP_PHYSICAL_SLOT_NUM = 13'h0000,
241
  parameter        SLOT_CAP_POWER_CONTROLLER_PRESENT = "FALSE",
242
  parameter        SLOT_CAP_POWER_INDICATOR_PRESENT = "FALSE",
243
  parameter        SLOT_CAP_SLOT_POWER_LIMIT_SCALE = 0,
244
  parameter        SLOT_CAP_SLOT_POWER_LIMIT_VALUE = 8'h00,
245
  parameter        SPARE_BIT0 = 0,
246
  parameter        SPARE_BIT1 = 0,
247
  parameter        SPARE_BIT2 = 0,
248
  parameter        SPARE_BIT3 = 0,
249
  parameter        SPARE_BIT4 = 0,
250
  parameter        SPARE_BIT5 = 0,
251
  parameter        SPARE_BIT6 = 0,
252
  parameter        SPARE_BIT7 = 0,
253
  parameter        SPARE_BIT8 = 0,
254
  parameter        SPARE_BYTE0 = 8'h00,
255
  parameter        SPARE_BYTE1 = 8'h00,
256
  parameter        SPARE_BYTE2 = 8'h00,
257
  parameter        SPARE_BYTE3 = 8'h00,
258
  parameter        SPARE_WORD0 = 32'h00000000,
259
  parameter        SPARE_WORD1 = 32'h00000000,
260
  parameter        SPARE_WORD2 = 32'h00000000,
261
  parameter        SPARE_WORD3 = 32'h00000000,
262
  parameter        SUBSYSTEM_ID = 16'h0007,
263
  parameter        SUBSYSTEM_VENDOR_ID = 16'h10ee,
264
  parameter        TL_RBYPASS = "FALSE",
265
  parameter        TL_RX_RAM_RADDR_LATENCY = 0,
266
  parameter        TL_RX_RAM_RDATA_LATENCY = 2,
267
  parameter        TL_RX_RAM_WRITE_LATENCY = 0,
268
  parameter        TL_TFC_DISABLE = "FALSE",
269
  parameter        TL_TX_CHECKS_DISABLE = "FALSE",
270
  parameter        TL_TX_RAM_RADDR_LATENCY = 0,
271
  parameter        TL_TX_RAM_RDATA_LATENCY = 2,
272
  parameter        TL_TX_RAM_WRITE_LATENCY = 0,
273
  parameter        UPCONFIG_CAPABLE = "TRUE",
274
  parameter        UPSTREAM_FACING = "FALSE",
275
  parameter        EXIT_LOOPBACK_ON_EI  = "TRUE",
276
  parameter        UR_INV_REQ = "TRUE",
277
  parameter        USER_CLK_FREQ = 3,
278
  parameter        VC_BASE_PTR = 12'h10c,
279
  parameter        VC_CAP_ID = 16'h0002,
280
  parameter        VC_CAP_NEXTPTR = 12'h128,
281
  parameter        VC_CAP_ON = "TRUE",
282
  parameter        VC_CAP_REJECT_SNOOP_TRANSACTIONS = "FALSE",
283
  parameter        VC_CAP_VERSION = 4'h1,
284
  parameter        VC0_CPL_INFINITE = "TRUE",
285
  parameter        VC0_RX_RAM_LIMIT = 13'h01ff,
286
  parameter        VC0_TOTAL_CREDITS_CD = 77,
287
  parameter        VC0_TOTAL_CREDITS_CH = 36,
288
  parameter        VC0_TOTAL_CREDITS_NPH = 12,
289
  parameter        VC0_TOTAL_CREDITS_PD = 77,
290
  parameter        VC0_TOTAL_CREDITS_PH = 32,
291
  parameter        VC0_TX_LASTPACKET = 13,
292
  parameter        VENDOR_ID = 16'h10ee,
293
  parameter        VSEC_BASE_PTR = 12'h160,
294
  parameter        VSEC_CAP_HDR_ID = 16'h1234,
295
  parameter        VSEC_CAP_HDR_LENGTH = 12'h018,
296
  parameter        VSEC_CAP_HDR_REVISION = 4'h1,
297
  parameter        VSEC_CAP_ID = 16'h000b,
298
  parameter        VSEC_CAP_IS_LINK_VISIBLE = "TRUE",
299
  parameter        VSEC_CAP_NEXTPTR = 12'h000,
300
  parameter        VSEC_CAP_ON = "TRUE",
301
  parameter        VSEC_CAP_VERSION = 4'h1
302
)
303
(
304
  //-------------------------------------------------------
305
  // 1. PCI Express (pci_exp) Interface
306
  //-------------------------------------------------------
307
 
308
  // Tx
309
  output  [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_txp,
310
  output  [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_txn,
311
 
312
  // Rx
313
  input   [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_rxp,
314
  input   [(LINK_CAP_MAX_LINK_WIDTH - 1):0]     pci_exp_rxn,
315
 
316
  //-------------------------------------------------------
317
  // 2. Transaction (TRN) Interface
318
  //-------------------------------------------------------
319
 
320
  // Common
321
  output                                        trn_clk,
322
  output                                        trn_reset_n,
323
  output                                        trn_lnk_up_n,
324
 
325
  // Tx
326
  output  [5:0]                                 trn_tbuf_av,
327
  output                                        trn_tcfg_req_n,
328
  output                                        trn_terr_drop_n,
329
  output                                        trn_tdst_rdy_n,
330
  input  [63:0]                                 trn_td,
331
  input                                         trn_trem_n,
332
  input                                         trn_tsof_n,
333
  input                                         trn_teof_n,
334
  input                                         trn_tsrc_rdy_n,
335
  input                                         trn_tsrc_dsc_n,
336
  input                                         trn_terrfwd_n,
337
  input                                         trn_tcfg_gnt_n,
338
  input                                         trn_tstr_n,
339
 
340
  // Rx
341
  output  [63:0]                                trn_rd,
342
  output                                        trn_rrem_n,
343
  output                                        trn_rsof_n,
344
  output                                        trn_reof_n,
345
  output                                        trn_rsrc_rdy_n,
346
  output                                        trn_rsrc_dsc_n,
347
  output                                        trn_rerrfwd_n,
348
  output  [6:0]                                 trn_rbar_hit_n,
349
  input                                         trn_rdst_rdy_n,
350
  input                                         trn_rnp_ok_n,
351
  output                                        trn_recrc_err_n,
352
 
353
  // Flow Control
354
  output [11:0]                                 trn_fc_cpld,
355
  output  [7:0]                                 trn_fc_cplh,
356
  output [11:0]                                 trn_fc_npd,
357
  output  [7:0]                                 trn_fc_nph,
358
  output [11:0]                                 trn_fc_pd,
359
  output  [7:0]                                 trn_fc_ph,
360
  input   [2:0]                                 trn_fc_sel,
361
 
362
 
363
  //-------------------------------------------------------
364
  // 3. Configuration (CFG) Interface
365
  //-------------------------------------------------------
366
 
367
  output [31:0]                                 cfg_do,
368
  output                                        cfg_rd_wr_done_n,
369
  input  [31:0]                                 cfg_di,
370
  input   [3:0]                                 cfg_byte_en_n,
371
  input   [9:0]                                 cfg_dwaddr,
372
  input                                         cfg_wr_en_n,
373
  input                                         cfg_wr_rw1c_as_rw_n,
374
  input                                         cfg_rd_en_n,
375
 
376
  input                                         cfg_err_cor_n,
377
  input                                         cfg_err_ur_n,
378
  input                                         cfg_err_ecrc_n,
379
  input                                         cfg_err_cpl_timeout_n,
380
  input                                         cfg_err_cpl_abort_n,
381
  input                                         cfg_err_cpl_unexpect_n,
382
  input                                         cfg_err_posted_n,
383
  input                                         cfg_err_locked_n,
384
  input  [47:0]                                 cfg_err_tlp_cpl_header,
385
  output                                        cfg_err_cpl_rdy_n,
386
  input                                         cfg_interrupt_n,
387
  output                                        cfg_interrupt_rdy_n,
388
  input                                         cfg_interrupt_assert_n,
389
  input  [7:0]                                  cfg_interrupt_di,
390
  output [7:0]                                  cfg_interrupt_do,
391
  output [2:0]                                  cfg_interrupt_mmenable,
392
  output                                        cfg_interrupt_msienable,
393
  output                                        cfg_interrupt_msixenable,
394
  output                                        cfg_interrupt_msixfm,
395
  input                                         cfg_trn_pending_n,
396
  input                                         cfg_pm_send_pme_to_n,
397
  output [15:0]                                 cfg_status,
398
  output [15:0]                                 cfg_command,
399
  output [15:0]                                 cfg_dstatus,
400
  output [15:0]                                 cfg_dcommand,
401
  output [15:0]                                 cfg_lstatus,
402
  output [15:0]                                 cfg_lcommand,
403
  output [15:0]                                 cfg_dcommand2,
404
  output  [2:0]                                 cfg_pcie_link_state_n,
405
  input  [63:0]                                 cfg_dsn,
406
  output                                        cfg_pmcsr_pme_en,
407
  output                                        cfg_pmcsr_pme_status,
408
  output [1:0]                                  cfg_pmcsr_powerstate,
409
 
410
  output                                        cfg_msg_received,
411
  output [15:0]                                 cfg_msg_data,
412
  output                                        cfg_msg_received_err_cor,
413
  output                                        cfg_msg_received_err_non_fatal,
414
  output                                        cfg_msg_received_err_fatal,
415
  output                                        cfg_msg_received_pme_to_ack,
416
  output                                        cfg_msg_received_assert_inta,
417
  output                                        cfg_msg_received_assert_intb,
418
  output                                        cfg_msg_received_assert_intc,
419
  output                                        cfg_msg_received_assert_intd,
420
  output                                        cfg_msg_received_deassert_inta,
421
  output                                        cfg_msg_received_deassert_intb,
422
  output                                        cfg_msg_received_deassert_intc,
423
  output                                        cfg_msg_received_deassert_intd,
424
 
425
  input   [7:0]                                 cfg_ds_bus_number,
426
  input   [4:0]                                 cfg_ds_device_number,
427
 
428
  //-------------------------------------------------------
429
  // 4. Physical Layer Control and Status (PL) Interface
430
  //-------------------------------------------------------
431
 
432
  output [2:0]                                  pl_initial_link_width,
433
  output [1:0]                                  pl_lane_reversal_mode,
434
  output                                        pl_link_gen2_capable,
435
  output                                        pl_link_partner_gen2_supported,
436
  output                                        pl_link_upcfg_capable,
437
  output [5:0]                                  pl_ltssm_state,
438
  output                                        pl_sel_link_rate,
439
  output [1:0]                                  pl_sel_link_width,
440
  input                                         pl_directed_link_auton,
441
  input  [1:0]                                  pl_directed_link_change,
442
  input                                         pl_directed_link_speed,
443
  input  [1:0]                                  pl_directed_link_width,
444
  input                                         pl_upstream_prefer_deemph,
445
  input                                         pl_transmit_hot_rst,
446
 
447
 
448
  //-------------------------------------------------------
449
  // 5. PCIe DRP (PCIe DRP) Interface
450
  //-------------------------------------------------------
451
 
452
  input                                         pcie_drp_clk,
453
  input                                         pcie_drp_den,
454
  input                                         pcie_drp_dwe,
455
  input  [8:0]                                  pcie_drp_daddr,
456
  input  [15:0]                                 pcie_drp_di,
457
  output [15:0]                                 pcie_drp_do,
458
  output                                        pcie_drp_drdy,
459
 
460
  //-------------------------------------------------------
461
  // 6. System  (SYS) Interface
462
  //-------------------------------------------------------
463
 
464
  input                                         sys_clk,
465
  input                                         sys_reset_n
466
 
467
);
468
 
469
  localparam                                    LP_LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP =
470
                                                   ((LINK_CAP_MAX_LINK_WIDTH > 6'h01) || (LINK_CAP_MAX_LINK_SPEED > 4'h1)) ? "TRUE" : "FALSE";
471
 
472
 
473
  wire                                          rx_func_level_reset_n;
474
 
475
  wire                                          cfg_cmd_bme;
476
  wire                                          cfg_cmd_intdis;
477
  wire                                          cfg_cmd_io_en;
478
  wire                                          cfg_cmd_mem_en;
479
  wire                                          cfg_cmd_serr_en;
480
  wire                                          cfg_dev_control_aux_power_en ;
481
  wire                                          cfg_dev_control_corr_err_reporting_en ;
482
  wire                                          cfg_dev_control_enable_relaxed_order ;
483
  wire                                          cfg_dev_control_ext_tag_en ;
484
  wire                                          cfg_dev_control_fatal_err_reporting_en ;
485
  wire [2:0]                                    cfg_dev_control_maxpayload ;
486
  wire [2:0]                                    cfg_dev_control_max_read_req ;
487
  wire                                          cfg_dev_control_non_fatal_reporting_en ;
488
  wire                                          cfg_dev_control_nosnoop_en ;
489
  wire                                          cfg_dev_control_phantom_en ;
490
  wire                                          cfg_dev_control_ur_err_reporting_en ;
491
  wire                                          cfg_dev_control2_cpltimeout_dis ;
492
  wire [3:0]                                    cfg_dev_control2_cpltimeout_val ;
493
  wire                                          cfg_dev_status_corr_err_detected ;
494
  wire                                          cfg_dev_status_fatal_err_detected ;
495
  wire                                          cfg_dev_status_nonfatal_err_detected ;
496
  wire                                          cfg_dev_status_ur_detected ;
497
  wire                                          cfg_link_control_auto_bandwidth_int_en ;
498
  wire                                          cfg_link_control_bandwidth_int_en ;
499
  wire                                          cfg_link_control_hw_auto_width_dis ;
500
  wire                                          cfg_link_control_clock_pm_en ;
501
  wire                                          cfg_link_control_extended_sync ;
502
  wire                                          cfg_link_control_common_clock ;
503
  wire                                          cfg_link_control_retrain_link ;
504
  wire                                          cfg_link_control_linkdisable ;
505
  wire                                          cfg_link_control_rcb ;
506
  wire [1:0]                                    cfg_link_control_aspm_control ;
507
  wire                                          cfg_link_status_auto_bandwidth_status ;
508
  wire                                          cfg_link_status_bandwidth_status ;
509
  wire                                          cfg_link_status_dll_active ;
510
  wire                                          cfg_link_status_link_training ;
511
  wire [3:0]                                    cfg_link_status_negotiated_link_width ;
512
  wire [1:0]                                    cfg_link_status_current_speed ;
513
 
514
  wire                                          sys_reset_n_d;
515
  wire                                          phy_rdy_n;
516
 
517
  wire                                          trn_lnk_up_n_int;
518
  wire                                          trn_lnk_up_n_int1;
519
 
520
  wire                                          trn_reset_n_int;
521
  wire                                          trn_reset_n_int1;
522
 
523
 
524
  wire                                          TxOutClk;
525
  wire                                          TxOutClk_bufg;
526
 
527
  // assigns to outputs
528
 
529
  assign                                        cfg_status = {16'b0};
530
 
531
  assign                                        cfg_command = {5'b0,
532
                                                               cfg_cmd_intdis,
533
                                                               1'b0,
534
                                                               cfg_cmd_serr_en,
535
                                                               5'b0,
536
                                                               cfg_cmd_bme,
537
                                                               cfg_cmd_mem_en,
538
                                                               cfg_cmd_io_en};
539
 
540
  assign                                        cfg_dstatus = {10'h0,
541
                                                               cfg_trn_pending_n,
542
                                                               1'b0,
543
                                                               cfg_dev_status_ur_detected,
544
                                                               cfg_dev_status_fatal_err_detected,
545
                                                               cfg_dev_status_nonfatal_err_detected,
546
                                                               cfg_dev_status_corr_err_detected};
547
 
548
  assign                                        cfg_dcommand = {1'b0,
549
                                                               cfg_dev_control_max_read_req,
550
                                                               cfg_dev_control_nosnoop_en,
551
                                                               cfg_dev_control_aux_power_en,
552
                                                               cfg_dev_control_phantom_en,
553
                                                               cfg_dev_control_ext_tag_en,
554
                                                               cfg_dev_control_maxpayload,
555
                                                               cfg_dev_control_enable_relaxed_order,
556
                                                               cfg_dev_control_ur_err_reporting_en,
557
                                                               cfg_dev_control_fatal_err_reporting_en,
558
                                                               cfg_dev_control_non_fatal_reporting_en,
559
                                                               cfg_dev_control_corr_err_reporting_en };
560
 
561
  assign                                        cfg_lstatus = {cfg_link_status_auto_bandwidth_status,
562
                                                               cfg_link_status_bandwidth_status,
563
                                                               cfg_link_status_dll_active,
564
                                                               (LINK_STATUS_SLOT_CLOCK_CONFIG == "TRUE") ? 1'b1 : 1'b0,
565
                                                               cfg_link_status_link_training,
566
                                                               1'b0,
567
                                                               {2'b00, cfg_link_status_negotiated_link_width},
568
                                                               {2'b00, cfg_link_status_current_speed} };
569
 
570
  assign                                        cfg_lcommand = {cfg_link_control_auto_bandwidth_int_en,
571
                                                                cfg_link_control_bandwidth_int_en,
572
                                                                cfg_link_control_hw_auto_width_dis,
573
                                                                cfg_link_control_clock_pm_en,
574
                                                                cfg_link_control_extended_sync,
575
                                                                cfg_link_control_common_clock,
576
                                                                cfg_link_control_retrain_link,
577
                                                                cfg_link_control_linkdisable,
578
                                                                cfg_link_control_rcb,
579
                                                                1'b0,
580
                                                                cfg_link_control_aspm_control};
581
 
582
  assign                                        cfg_dcommand2 = {11'b0,
583
                                                                 cfg_dev_control2_cpltimeout_dis,
584
                                                                 cfg_dev_control2_cpltimeout_val};
585
 
586
  // Generate trn_lnk_up_n
587
 
588
FDCP #(
589
 
590
  .INIT(1'b1)
591
 
592
) trn_lnk_up_n_i (
593
 
594
  .Q (trn_lnk_up_n),
595
  .D (trn_lnk_up_n_int1),
596
  .C (trn_clk),
597
  .CLR (1'b0),
598
  .PRE (1'b0)
599
 
600
);
601
 
602
FDCP #(
603
 
604
  .INIT(1'b1)
605
 
606
) trn_lnk_up_n_int_i (
607
 
608
  .Q (trn_lnk_up_n_int1),
609
  .D (trn_lnk_up_n_int),
610
  .C (trn_clk),
611
  .CLR (1'b0),
612
  .PRE (1'b0)
613
 
614
);
615
 
616
 
617
  // Generate trn_reset_n
618
 
619
FDCP #(
620
 
621
  .INIT(1'b0)
622
 
623
) trn_reset_n_i (
624
 
625
  .Q (trn_reset_n),
626
  .D (trn_reset_n_int1 & ~phy_rdy_n),
627
  .C (trn_clk),
628
  .CLR (~sys_reset_n_d),
629
  .PRE (1'b0)
630
 
631
);
632
 
633
FDCP #(
634
 
635
  .INIT(1'b0)
636
 
637
) trn_reset_n_int_i (
638
 
639
  .Q (trn_reset_n_int1 ),
640
  .D (trn_reset_n_int & ~phy_rdy_n),
641
  .C (trn_clk),
642
  .CLR (~sys_reset_n_d),
643
  .PRE (1'b0)
644
 
645
);
646
 
647
 
648
 
649
//-------------------------------------------------------
650
// PCI Express Reset Delay Module
651
//-------------------------------------------------------
652
 
653
pcie_reset_delay_v6 #(
654
 
655
  .PL_FAST_TRAIN          ( PL_FAST_TRAIN ),
656
  .REF_CLK_FREQ           ( REF_CLK_FREQ )
657
 
658
)
659
pcie_reset_delay_i (
660
 
661
  .ref_clk                ( TxOutClk_bufg ),
662
  .sys_reset_n            ( sys_reset_n ),
663
  .delayed_sys_reset_n    ( sys_reset_n_d )
664
 
665
);
666
 
667
//-------------------------------------------------------
668
// PCI Express Clocking Module
669
//-------------------------------------------------------
670
 
671
pcie_clocking_v6 #(
672
 
673
  .IS_ENDPOINT("FALSE"),
674
  .CAP_LINK_WIDTH(LINK_CAP_MAX_LINK_WIDTH),
675
  .CAP_LINK_SPEED(LINK_CAP_MAX_LINK_SPEED),
676
  .REF_CLK_FREQ(REF_CLK_FREQ),
677
  .USER_CLK_FREQ(USER_CLK_FREQ)
678
 
679
)
680
pcie_clocking_i (
681
 
682
  .sys_clk                 ( TxOutClk ),
683
  .gt_pll_lock             ( gt_pll_lock ),
684
  .sel_lnk_rate            ( pl_sel_link_rate ),
685
  .sel_lnk_width           ( pl_sel_link_width ),
686
 
687
  .sys_clk_bufg            ( TxOutClk_bufg ),
688
  .pipe_clk                ( pipe_clk ),
689
  .block_clk               ( ),
690
  .user_clk                ( user_clk ),
691
  .drp_clk                 ( drp_clk ),
692
  .clock_locked            ( clock_locked )
693
 
694
);
695
 
696
//-------------------------------------------------------
697
// Virtex6 PCI Express Block Module
698
//-------------------------------------------------------
699
 
700
pcie_2_0_v6_rp #(
701
 
702
  .REF_CLK_FREQ ( REF_CLK_FREQ ),
703
  .PIPE_PIPELINE_STAGES ( PIPE_PIPELINE_STAGES ),
704
  .AER_BASE_PTR ( AER_BASE_PTR ),
705
  .AER_CAP_ECRC_CHECK_CAPABLE ( AER_CAP_ECRC_CHECK_CAPABLE ),
706
  .AER_CAP_ECRC_GEN_CAPABLE ( AER_CAP_ECRC_GEN_CAPABLE ),
707
  .AER_CAP_ID ( AER_CAP_ID ),
708
  .AER_CAP_INT_MSG_NUM_MSI ( AER_CAP_INT_MSG_NUM_MSI ),
709
  .AER_CAP_INT_MSG_NUM_MSIX ( AER_CAP_INT_MSG_NUM_MSIX ),
710
  .AER_CAP_NEXTPTR ( AER_CAP_NEXTPTR ),
711
  .AER_CAP_ON ( AER_CAP_ON ),
712
  .AER_CAP_PERMIT_ROOTERR_UPDATE ( AER_CAP_PERMIT_ROOTERR_UPDATE ),
713
  .AER_CAP_VERSION ( AER_CAP_VERSION ),
714
  .ALLOW_X8_GEN2 ( ALLOW_X8_GEN2 ),
715
  .BAR0 ( BAR0 ),
716
  .BAR1 ( BAR1 ),
717
  .BAR2 ( BAR2 ),
718
  .BAR3 ( BAR3 ),
719
  .BAR4 ( BAR4 ),
720
  .BAR5 ( BAR5 ),
721
  .CAPABILITIES_PTR ( CAPABILITIES_PTR ),
722
  .CARDBUS_CIS_POINTER ( CARDBUS_CIS_POINTER ),
723
  .CLASS_CODE ( CLASS_CODE ),
724
  .CMD_INTX_IMPLEMENTED ( CMD_INTX_IMPLEMENTED ),
725
  .CPL_TIMEOUT_DISABLE_SUPPORTED ( CPL_TIMEOUT_DISABLE_SUPPORTED ),
726
  .CPL_TIMEOUT_RANGES_SUPPORTED ( CPL_TIMEOUT_RANGES_SUPPORTED ),
727
  .CRM_MODULE_RSTS ( CRM_MODULE_RSTS ),
728
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ),
729
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ),
730
  .DEV_CAP_ENDPOINT_L0S_LATENCY ( DEV_CAP_ENDPOINT_L0S_LATENCY ),
731
  .DEV_CAP_ENDPOINT_L1_LATENCY ( DEV_CAP_ENDPOINT_L1_LATENCY ),
732
  .DEV_CAP_EXT_TAG_SUPPORTED ( DEV_CAP_EXT_TAG_SUPPORTED ),
733
  .DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ( DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ),
734
  .DEV_CAP_MAX_PAYLOAD_SUPPORTED ( DEV_CAP_MAX_PAYLOAD_SUPPORTED ),
735
  .DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ( DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ),
736
  .DEV_CAP_ROLE_BASED_ERROR ( DEV_CAP_ROLE_BASED_ERROR ),
737
  .DEV_CAP_RSVD_14_12 ( DEV_CAP_RSVD_14_12 ),
738
  .DEV_CAP_RSVD_17_16 ( DEV_CAP_RSVD_17_16 ),
739
  .DEV_CAP_RSVD_31_29 ( DEV_CAP_RSVD_31_29 ),
740
  .DEV_CONTROL_AUX_POWER_SUPPORTED ( DEV_CONTROL_AUX_POWER_SUPPORTED ),
741
  .DEVICE_ID ( DEVICE_ID ),
742
  .DISABLE_ASPM_L1_TIMER ( DISABLE_ASPM_L1_TIMER ),
743
  .DISABLE_BAR_FILTERING ( DISABLE_BAR_FILTERING ),
744
  .DISABLE_ID_CHECK ( DISABLE_ID_CHECK ),
745
  .DISABLE_LANE_REVERSAL ( DISABLE_LANE_REVERSAL ),
746
  .DISABLE_RX_TC_FILTER ( DISABLE_RX_TC_FILTER ),
747
  .DISABLE_SCRAMBLING ( DISABLE_SCRAMBLING ),
748
  .DNSTREAM_LINK_NUM ( DNSTREAM_LINK_NUM ),
749
  .DSN_BASE_PTR ( DSN_BASE_PTR ),
750
  .DSN_CAP_ID ( DSN_CAP_ID ),
751
  .DSN_CAP_NEXTPTR ( DSN_CAP_NEXTPTR ),
752
  .DSN_CAP_ON ( DSN_CAP_ON ),
753
  .DSN_CAP_VERSION ( DSN_CAP_VERSION ),
754
  .ENABLE_MSG_ROUTE ( ENABLE_MSG_ROUTE ),
755
  .ENABLE_RX_TD_ECRC_TRIM ( ENABLE_RX_TD_ECRC_TRIM ),
756
  .ENTER_RVRY_EI_L0 ( ENTER_RVRY_EI_L0 ),
757
  .EXPANSION_ROM ( EXPANSION_ROM ),
758
  .EXT_CFG_CAP_PTR ( EXT_CFG_CAP_PTR ),
759
  .EXT_CFG_XP_CAP_PTR ( EXT_CFG_XP_CAP_PTR ),
760
  .HEADER_TYPE ( HEADER_TYPE ),
761
  .INFER_EI ( INFER_EI ),
762
  .INTERRUPT_PIN ( INTERRUPT_PIN ),
763
  .IS_SWITCH ( IS_SWITCH ),
764
  .LAST_CONFIG_DWORD ( LAST_CONFIG_DWORD ),
765
  .LINK_CAP_ASPM_SUPPORT ( LINK_CAP_ASPM_SUPPORT ),
766
  .LINK_CAP_CLOCK_POWER_MANAGEMENT ( LINK_CAP_CLOCK_POWER_MANAGEMENT ),
767
  .LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ( LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ),
768
  .LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ( LP_LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ),
769
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ),
770
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ),
771
  .LINK_CAP_L0S_EXIT_LATENCY_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_GEN1 ),
772
  .LINK_CAP_L0S_EXIT_LATENCY_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_GEN2 ),
773
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ),
774
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ),
775
  .LINK_CAP_L1_EXIT_LATENCY_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_GEN1 ),
776
  .LINK_CAP_L1_EXIT_LATENCY_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_GEN2 ),
777
  .LINK_CAP_MAX_LINK_SPEED ( LINK_CAP_MAX_LINK_SPEED ),
778
  .LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH ),
779
  .LINK_CAP_RSVD_23_22 ( LINK_CAP_RSVD_23_22 ),
780
  .LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ( LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ),
781
  .LINK_CONTROL_RCB ( LINK_CONTROL_RCB ),
782
  .LINK_CTRL2_DEEMPHASIS ( LINK_CTRL2_DEEMPHASIS ),
783
  .LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ( LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ),
784
  .LINK_CTRL2_TARGET_LINK_SPEED ( LINK_CTRL2_TARGET_LINK_SPEED ),
785
  .LINK_STATUS_SLOT_CLOCK_CONFIG ( LINK_STATUS_SLOT_CLOCK_CONFIG ),
786
  .LL_ACK_TIMEOUT ( LL_ACK_TIMEOUT ),
787
  .LL_ACK_TIMEOUT_EN ( LL_ACK_TIMEOUT_EN ),
788
  .LL_ACK_TIMEOUT_FUNC ( LL_ACK_TIMEOUT_FUNC ),
789
  .LL_REPLAY_TIMEOUT ( LL_REPLAY_TIMEOUT ),
790
  .LL_REPLAY_TIMEOUT_EN ( LL_REPLAY_TIMEOUT_EN ),
791
  .LL_REPLAY_TIMEOUT_FUNC ( LL_REPLAY_TIMEOUT_FUNC ),
792
  .LTSSM_MAX_LINK_WIDTH ( LTSSM_MAX_LINK_WIDTH ),
793
  .MSI_BASE_PTR ( MSI_BASE_PTR ),
794
  .MSI_CAP_ID ( MSI_CAP_ID ),
795
  .MSI_CAP_MULTIMSGCAP ( MSI_CAP_MULTIMSGCAP ),
796
  .MSI_CAP_MULTIMSG_EXTENSION ( MSI_CAP_MULTIMSG_EXTENSION ),
797
  .MSI_CAP_NEXTPTR ( MSI_CAP_NEXTPTR ),
798
  .MSI_CAP_ON ( MSI_CAP_ON ),
799
  .MSI_CAP_PER_VECTOR_MASKING_CAPABLE ( MSI_CAP_PER_VECTOR_MASKING_CAPABLE ),
800
  .MSI_CAP_64_BIT_ADDR_CAPABLE ( MSI_CAP_64_BIT_ADDR_CAPABLE ),
801
  .MSIX_BASE_PTR ( MSIX_BASE_PTR ),
802
  .MSIX_CAP_ID ( MSIX_CAP_ID ),
803
  .MSIX_CAP_NEXTPTR ( MSIX_CAP_NEXTPTR ),
804
  .MSIX_CAP_ON ( MSIX_CAP_ON ),
805
  .MSIX_CAP_PBA_BIR ( MSIX_CAP_PBA_BIR ),
806
  .MSIX_CAP_PBA_OFFSET ( MSIX_CAP_PBA_OFFSET ),
807
  .MSIX_CAP_TABLE_BIR ( MSIX_CAP_TABLE_BIR ),
808
  .MSIX_CAP_TABLE_OFFSET ( MSIX_CAP_TABLE_OFFSET ),
809
  .MSIX_CAP_TABLE_SIZE ( MSIX_CAP_TABLE_SIZE ),
810
  .N_FTS_COMCLK_GEN1 ( N_FTS_COMCLK_GEN1 ),
811
  .N_FTS_COMCLK_GEN2 ( N_FTS_COMCLK_GEN2 ),
812
  .N_FTS_GEN1 ( N_FTS_GEN1 ),
813
  .N_FTS_GEN2 ( N_FTS_GEN2 ),
814
  .PCIE_BASE_PTR ( PCIE_BASE_PTR ),
815
  .PCIE_CAP_CAPABILITY_ID ( PCIE_CAP_CAPABILITY_ID ),
816
  .PCIE_CAP_CAPABILITY_VERSION ( PCIE_CAP_CAPABILITY_VERSION ),
817
  .PCIE_CAP_DEVICE_PORT_TYPE ( PCIE_CAP_DEVICE_PORT_TYPE ),
818
  .PCIE_CAP_INT_MSG_NUM ( PCIE_CAP_INT_MSG_NUM ),
819
  .PCIE_CAP_NEXTPTR ( PCIE_CAP_NEXTPTR ),
820
  .PCIE_CAP_ON ( PCIE_CAP_ON ),
821
  .PCIE_CAP_RSVD_15_14 ( PCIE_CAP_RSVD_15_14 ),
822
  .PCIE_CAP_SLOT_IMPLEMENTED ( PCIE_CAP_SLOT_IMPLEMENTED ),
823
  .PCIE_REVISION ( PCIE_REVISION ),
824
  .PGL0_LANE ( PGL0_LANE ),
825
  .PGL1_LANE ( PGL1_LANE ),
826
  .PGL2_LANE ( PGL2_LANE ),
827
  .PGL3_LANE ( PGL3_LANE ),
828
  .PGL4_LANE ( PGL4_LANE ),
829
  .PGL5_LANE ( PGL5_LANE ),
830
  .PGL6_LANE ( PGL6_LANE ),
831
  .PGL7_LANE ( PGL7_LANE ),
832
  .PL_AUTO_CONFIG ( PL_AUTO_CONFIG ),
833
  .PL_FAST_TRAIN ( PL_FAST_TRAIN ),
834
  .PM_BASE_PTR ( PM_BASE_PTR ),
835
  .PM_CAP_AUXCURRENT ( PM_CAP_AUXCURRENT ),
836
  .PM_CAP_DSI ( PM_CAP_DSI ),
837
  .PM_CAP_D1SUPPORT ( PM_CAP_D1SUPPORT ),
838
  .PM_CAP_D2SUPPORT ( PM_CAP_D2SUPPORT ),
839
  .PM_CAP_ID ( PM_CAP_ID ),
840
  .PM_CAP_NEXTPTR ( PM_CAP_NEXTPTR ),
841
  .PM_CAP_ON ( PM_CAP_ON ),
842
  .PM_CAP_PME_CLOCK ( PM_CAP_PME_CLOCK ),
843
  .PM_CAP_PMESUPPORT ( PM_CAP_PMESUPPORT ),
844
  .PM_CAP_RSVD_04 ( PM_CAP_RSVD_04 ),
845
  .PM_CAP_VERSION ( PM_CAP_VERSION ),
846
  .PM_CSR_BPCCEN ( PM_CSR_BPCCEN ),
847
  .PM_CSR_B2B3 ( PM_CSR_B2B3 ),
848
  .PM_CSR_NOSOFTRST ( PM_CSR_NOSOFTRST ),
849
  .PM_DATA_SCALE0 ( PM_DATA_SCALE0 ),
850
  .PM_DATA_SCALE1 ( PM_DATA_SCALE1 ),
851
  .PM_DATA_SCALE2 ( PM_DATA_SCALE2 ),
852
  .PM_DATA_SCALE3 ( PM_DATA_SCALE3 ),
853
  .PM_DATA_SCALE4 ( PM_DATA_SCALE4 ),
854
  .PM_DATA_SCALE5 ( PM_DATA_SCALE5 ),
855
  .PM_DATA_SCALE6 ( PM_DATA_SCALE6 ),
856
  .PM_DATA_SCALE7 ( PM_DATA_SCALE7 ),
857
  .PM_DATA0 ( PM_DATA0 ),
858
  .PM_DATA1 ( PM_DATA1 ),
859
  .PM_DATA2 ( PM_DATA2 ),
860
  .PM_DATA3 ( PM_DATA3 ),
861
  .PM_DATA4 ( PM_DATA4 ),
862
  .PM_DATA5 ( PM_DATA5 ),
863
  .PM_DATA6 ( PM_DATA6 ),
864
  .PM_DATA7 ( PM_DATA7 ),
865
  .RECRC_CHK ( RECRC_CHK ),
866
  .RECRC_CHK_TRIM ( RECRC_CHK_TRIM ),
867
  .REVISION_ID ( REVISION_ID ),
868
  .ROOT_CAP_CRS_SW_VISIBILITY ( ROOT_CAP_CRS_SW_VISIBILITY ),
869
  .SELECT_DLL_IF ( SELECT_DLL_IF ),
870
  .SLOT_CAP_ATT_BUTTON_PRESENT ( SLOT_CAP_ATT_BUTTON_PRESENT ),
871
  .SLOT_CAP_ATT_INDICATOR_PRESENT ( SLOT_CAP_ATT_INDICATOR_PRESENT ),
872
  .SLOT_CAP_ELEC_INTERLOCK_PRESENT ( SLOT_CAP_ELEC_INTERLOCK_PRESENT ),
873
  .SLOT_CAP_HOTPLUG_CAPABLE ( SLOT_CAP_HOTPLUG_CAPABLE ),
874
  .SLOT_CAP_HOTPLUG_SURPRISE ( SLOT_CAP_HOTPLUG_SURPRISE ),
875
  .SLOT_CAP_MRL_SENSOR_PRESENT ( SLOT_CAP_MRL_SENSOR_PRESENT ),
876
  .SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ( SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ),
877
  .SLOT_CAP_PHYSICAL_SLOT_NUM ( SLOT_CAP_PHYSICAL_SLOT_NUM ),
878
  .SLOT_CAP_POWER_CONTROLLER_PRESENT ( SLOT_CAP_POWER_CONTROLLER_PRESENT ),
879
  .SLOT_CAP_POWER_INDICATOR_PRESENT ( SLOT_CAP_POWER_INDICATOR_PRESENT ),
880
  .SLOT_CAP_SLOT_POWER_LIMIT_SCALE ( SLOT_CAP_SLOT_POWER_LIMIT_SCALE ),
881
  .SLOT_CAP_SLOT_POWER_LIMIT_VALUE ( SLOT_CAP_SLOT_POWER_LIMIT_VALUE ),
882
  .SPARE_BIT0 ( SPARE_BIT0 ),
883
  .SPARE_BIT1 ( SPARE_BIT1 ),
884
  .SPARE_BIT2 ( SPARE_BIT2 ),
885
  .SPARE_BIT3 ( SPARE_BIT3 ),
886
  .SPARE_BIT4 ( SPARE_BIT4 ),
887
  .SPARE_BIT5 ( SPARE_BIT5 ),
888
  .SPARE_BIT6 ( SPARE_BIT6 ),
889
  .SPARE_BIT7 ( SPARE_BIT7 ),
890
  .SPARE_BIT8 ( SPARE_BIT8 ),
891
  .SPARE_BYTE0 ( SPARE_BYTE0 ),
892
  .SPARE_BYTE1 ( SPARE_BYTE1 ),
893
  .SPARE_BYTE2 ( SPARE_BYTE2 ),
894
  .SPARE_BYTE3 ( SPARE_BYTE3 ),
895
  .SPARE_WORD0 ( SPARE_WORD0 ),
896
  .SPARE_WORD1 ( SPARE_WORD1 ),
897
  .SPARE_WORD2 ( SPARE_WORD2 ),
898
  .SPARE_WORD3 ( SPARE_WORD3 ),
899
  .SUBSYSTEM_ID ( SUBSYSTEM_ID ),
900
  .SUBSYSTEM_VENDOR_ID ( SUBSYSTEM_VENDOR_ID ),
901
  .TL_RBYPASS ( TL_RBYPASS ),
902
  .TL_RX_RAM_RADDR_LATENCY ( TL_RX_RAM_RADDR_LATENCY ),
903
  .TL_RX_RAM_RDATA_LATENCY ( TL_RX_RAM_RDATA_LATENCY ),
904
  .TL_RX_RAM_WRITE_LATENCY ( TL_RX_RAM_WRITE_LATENCY ),
905
  .TL_TFC_DISABLE ( TL_TFC_DISABLE ),
906
  .TL_TX_CHECKS_DISABLE ( TL_TX_CHECKS_DISABLE ),
907
  .TL_TX_RAM_RADDR_LATENCY ( TL_TX_RAM_RADDR_LATENCY ),
908
  .TL_TX_RAM_RDATA_LATENCY ( TL_TX_RAM_RDATA_LATENCY ),
909
  .TL_TX_RAM_WRITE_LATENCY ( TL_TX_RAM_WRITE_LATENCY ),
910
  .UPCONFIG_CAPABLE ( UPCONFIG_CAPABLE ),
911
  .UPSTREAM_FACING ( UPSTREAM_FACING ),
912
  .EXIT_LOOPBACK_ON_EI ( EXIT_LOOPBACK_ON_EI ),
913
  .UR_INV_REQ ( UR_INV_REQ ),
914
  .USER_CLK_FREQ ( USER_CLK_FREQ ),
915
  .VC_BASE_PTR ( VC_BASE_PTR ),
916
  .VC_CAP_ID ( VC_CAP_ID ),
917
  .VC_CAP_NEXTPTR ( VC_CAP_NEXTPTR ),
918
  .VC_CAP_ON ( VC_CAP_ON ),
919
  .VC_CAP_REJECT_SNOOP_TRANSACTIONS ( VC_CAP_REJECT_SNOOP_TRANSACTIONS ),
920
  .VC_CAP_VERSION ( VC_CAP_VERSION ),
921
  .VC0_CPL_INFINITE ( VC0_CPL_INFINITE ),
922
  .VC0_RX_RAM_LIMIT ( VC0_RX_RAM_LIMIT ),
923
  .VC0_TOTAL_CREDITS_CD ( VC0_TOTAL_CREDITS_CD ),
924
  .VC0_TOTAL_CREDITS_CH ( VC0_TOTAL_CREDITS_CH ),
925
  .VC0_TOTAL_CREDITS_NPH ( VC0_TOTAL_CREDITS_NPH ),
926
  .VC0_TOTAL_CREDITS_PD ( VC0_TOTAL_CREDITS_PD ),
927
  .VC0_TOTAL_CREDITS_PH ( VC0_TOTAL_CREDITS_PH ),
928
  .VC0_TX_LASTPACKET ( VC0_TX_LASTPACKET ),
929
  .VENDOR_ID ( VENDOR_ID ),
930
  .VSEC_BASE_PTR ( VSEC_BASE_PTR ),
931
  .VSEC_CAP_HDR_ID ( VSEC_CAP_HDR_ID ),
932
  .VSEC_CAP_HDR_LENGTH ( VSEC_CAP_HDR_LENGTH ),
933
  .VSEC_CAP_HDR_REVISION ( VSEC_CAP_HDR_REVISION ),
934
  .VSEC_CAP_ID ( VSEC_CAP_ID ),
935
  .VSEC_CAP_IS_LINK_VISIBLE ( VSEC_CAP_IS_LINK_VISIBLE ),
936
  .VSEC_CAP_NEXTPTR ( VSEC_CAP_NEXTPTR ),
937
  .VSEC_CAP_ON ( VSEC_CAP_ON ),
938
  .VSEC_CAP_VERSION ( VSEC_CAP_VERSION )
939
 
940
)
941
pcie_2_0_i (
942
 
943
  .PCIEXPRXN( pci_exp_rxn ),
944
  .PCIEXPRXP( pci_exp_rxp ),
945
  .PCIEXPTXN( pci_exp_txn ),
946
  .PCIEXPTXP( pci_exp_txp ),
947
 
948
  .SYSCLK( sys_clk ),
949
  .TRNLNKUPN( trn_lnk_up_n_int ),
950
  .TRNCLK( trn_clk ),
951
 
952
  .FUNDRSTN (sys_reset_n_d),
953
  .PHYRDYN( phy_rdy_n ),
954
 
955
  .LNKCLKEN ( ),
956
  .USERRSTN( trn_reset_n_int ),
957
  .RECEIVEDFUNCLVLRSTN( rx_func_level_reset_n ),
958
  .SYSRSTN( ~phy_rdy_n ),
959
  .PLRSTN( 1'b1 ),
960
  .DLRSTN( 1'b1 ),
961
  .TLRSTN( 1'b1 ),
962
  .FUNCLVLRSTN( (DS_PORT_HOT_RST == "TRUE") ? ~pl_transmit_hot_rst : 1'b1 ),
963
  .CMRSTN( (DS_PORT_HOT_RST == "TRUE") ? ~pl_transmit_hot_rst : 1'b1 ),
964
  .CMSTICKYRSTN( 1'b1 ),
965
 
966
  .TRNRBARHITN( trn_rbar_hit_n ),
967
  .TRNRD( trn_rd ),
968
  .TRNRECRCERRN( trn_recrc_err_n ),
969
  .TRNREOFN( trn_reof_n ),
970
  .TRNRERRFWDN( trn_rerrfwd_n ),
971
  .TRNRREMN( trn_rrem_n ),
972
  .TRNRSOFN( trn_rsof_n ),
973
  .TRNRSRCDSCN( trn_rsrc_dsc_n ),
974
  .TRNRSRCRDYN( trn_rsrc_rdy_n ),
975
  .TRNRDSTRDYN( trn_rdst_rdy_n ),
976
  .TRNRNPOKN( trn_rnp_ok_n ),
977
 
978
  .TRNTBUFAV( trn_tbuf_av ),
979
  .TRNTCFGREQN( trn_tcfg_req_n ),
980
  .TRNTDLLPDSTRDYN( ),
981
  .TRNTDSTRDYN( trn_tdst_rdy_n ),
982
  .TRNTERRDROPN( trn_terr_drop_n ),
983
  .TRNTCFGGNTN( trn_tcfg_gnt_n ),
984
  .TRNTD( trn_td ),
985
  .TRNTDLLPDATA( 32'b0 ),
986
  .TRNTDLLPSRCRDYN( 1'b1 ),
987
  .TRNTECRCGENN( 1'b1 ),
988
  .TRNTEOFN( trn_teof_n ),
989
  .TRNTERRFWDN( trn_terrfwd_n ),
990
  .TRNTREMN( trn_trem_n ),
991
  .TRNTSOFN( trn_tsof_n ),
992
  .TRNTSRCDSCN( trn_tsrc_dsc_n ),
993
  .TRNTSRCRDYN( trn_tsrc_rdy_n ),
994
  .TRNTSTRN( trn_tstr_n ),
995
 
996
  .TRNFCCPLD( trn_fc_cpld ),
997
  .TRNFCCPLH( trn_fc_cplh ),
998
  .TRNFCNPD( trn_fc_npd ),
999
  .TRNFCNPH( trn_fc_nph ),
1000
  .TRNFCPD( trn_fc_pd ),
1001
  .TRNFCPH( trn_fc_ph ),
1002
  .TRNFCSEL( trn_fc_sel ),
1003
 
1004
  .CFGAERECRCCHECKEN(),
1005
  .CFGAERECRCGENEN(),
1006
  .CFGCOMMANDBUSMASTERENABLE( cfg_cmd_bme ),
1007
  .CFGCOMMANDINTERRUPTDISABLE( cfg_cmd_intdis ),
1008
  .CFGCOMMANDIOENABLE( cfg_cmd_io_en ),
1009
  .CFGCOMMANDMEMENABLE( cfg_cmd_mem_en ),
1010
  .CFGCOMMANDSERREN( cfg_cmd_serr_en ),
1011
  .CFGDEVCONTROLAUXPOWEREN( cfg_dev_control_aux_power_en ),
1012
  .CFGDEVCONTROLCORRERRREPORTINGEN( cfg_dev_control_corr_err_reporting_en ),
1013
  .CFGDEVCONTROLENABLERO( cfg_dev_control_enable_relaxed_order ),
1014
  .CFGDEVCONTROLEXTTAGEN( cfg_dev_control_ext_tag_en ),
1015
  .CFGDEVCONTROLFATALERRREPORTINGEN( cfg_dev_control_fatal_err_reporting_en ),
1016
  .CFGDEVCONTROLMAXPAYLOAD( cfg_dev_control_maxpayload ),
1017
  .CFGDEVCONTROLMAXREADREQ( cfg_dev_control_max_read_req ),
1018
  .CFGDEVCONTROLNONFATALREPORTINGEN( cfg_dev_control_non_fatal_reporting_en ),
1019
  .CFGDEVCONTROLNOSNOOPEN( cfg_dev_control_nosnoop_en ),
1020
  .CFGDEVCONTROLPHANTOMEN( cfg_dev_control_phantom_en ),
1021
  .CFGDEVCONTROLURERRREPORTINGEN( cfg_dev_control_ur_err_reporting_en ),
1022
  .CFGDEVCONTROL2CPLTIMEOUTDIS( cfg_dev_control2_cpltimeout_dis ),
1023
  .CFGDEVCONTROL2CPLTIMEOUTVAL( cfg_dev_control2_cpltimeout_val ),
1024
  .CFGDEVSTATUSCORRERRDETECTED( cfg_dev_status_corr_err_detected ),
1025
  .CFGDEVSTATUSFATALERRDETECTED( cfg_dev_status_fatal_err_detected ),
1026
  .CFGDEVSTATUSNONFATALERRDETECTED( cfg_dev_status_nonfatal_err_detected ),
1027
  .CFGDEVSTATUSURDETECTED( cfg_dev_status_ur_detected ),
1028
  .CFGDO( cfg_do ),
1029
  .CFGERRAERHEADERLOGSETN(),
1030
  .CFGERRCPLRDYN( cfg_err_cpl_rdy_n ),
1031
  .CFGINTERRUPTDO( cfg_interrupt_do ),
1032
  .CFGINTERRUPTMMENABLE( cfg_interrupt_mmenable ),
1033
  .CFGINTERRUPTMSIENABLE( cfg_interrupt_msienable ),
1034
  .CFGINTERRUPTMSIXENABLE( cfg_interrupt_msixenable ),
1035
  .CFGINTERRUPTMSIXFM( cfg_interrupt_msixfm ),
1036
  .CFGINTERRUPTRDYN( cfg_interrupt_rdy_n ),
1037
  .CFGLINKCONTROLRCB( cfg_link_control_rcb ),
1038
  .CFGLINKCONTROLASPMCONTROL( cfg_link_control_aspm_control ),
1039
  .CFGLINKCONTROLAUTOBANDWIDTHINTEN( cfg_link_control_auto_bandwidth_int_en ),
1040
  .CFGLINKCONTROLBANDWIDTHINTEN( cfg_link_control_bandwidth_int_en ),
1041
  .CFGLINKCONTROLCLOCKPMEN( cfg_link_control_clock_pm_en ),
1042
  .CFGLINKCONTROLCOMMONCLOCK( cfg_link_control_common_clock ),
1043
  .CFGLINKCONTROLEXTENDEDSYNC( cfg_link_control_extended_sync ),
1044
  .CFGLINKCONTROLHWAUTOWIDTHDIS( cfg_link_control_hw_auto_width_dis ),
1045
  .CFGLINKCONTROLLINKDISABLE( cfg_link_control_linkdisable ),
1046
  .CFGLINKCONTROLRETRAINLINK( cfg_link_control_retrain_link ),
1047
  .CFGLINKSTATUSAUTOBANDWIDTHSTATUS( cfg_link_status_auto_bandwidth_status ),
1048
  .CFGLINKSTATUSBANDWITHSTATUS( cfg_link_status_bandwidth_status ),
1049
  .CFGLINKSTATUSCURRENTSPEED( cfg_link_status_current_speed ),
1050
  .CFGLINKSTATUSDLLACTIVE( cfg_link_status_dll_active ),
1051
  .CFGLINKSTATUSLINKTRAINING( cfg_link_status_link_training ),
1052
  .CFGLINKSTATUSNEGOTIATEDWIDTH( cfg_link_status_negotiated_link_width ),
1053
  .CFGMSGDATA( cfg_msg_data ),
1054
  .CFGMSGRECEIVED( cfg_msg_received ),
1055
  .CFGMSGRECEIVEDASSERTINTA( cfg_msg_received_assert_inta ),
1056
  .CFGMSGRECEIVEDASSERTINTB( cfg_msg_received_assert_intb ),
1057
  .CFGMSGRECEIVEDASSERTINTC( cfg_msg_received_assert_intc ),
1058
  .CFGMSGRECEIVEDASSERTINTD( cfg_msg_received_assert_intd ),
1059
  .CFGMSGRECEIVEDDEASSERTINTA( cfg_msg_received_deassert_inta ),
1060
  .CFGMSGRECEIVEDDEASSERTINTB( cfg_msg_received_deassert_intb ),
1061
  .CFGMSGRECEIVEDDEASSERTINTC( cfg_msg_received_deassert_intc ),
1062
  .CFGMSGRECEIVEDDEASSERTINTD( cfg_msg_received_deassert_intd ),
1063
  .CFGMSGRECEIVEDERRCOR(cfg_msg_received_err_cor),
1064
  .CFGMSGRECEIVEDERRFATAL(cfg_msg_received_err_fatal),
1065
  .CFGMSGRECEIVEDERRNONFATAL(cfg_msg_received_err_non_fatal),
1066
  .CFGMSGRECEIVEDPMASNAK(),
1067
  .CFGMSGRECEIVEDPMETO( ),
1068
  .CFGMSGRECEIVEDPMETOACK(cfg_msg_received_pme_to_ack),
1069
  .CFGMSGRECEIVEDPMPME(),
1070
  .CFGMSGRECEIVEDSETSLOTPOWERLIMIT(),
1071
  .CFGMSGRECEIVEDUNLOCK(),
1072
  .CFGPCIELINKSTATE( cfg_pcie_link_state_n ),
1073
  .CFGPMRCVASREQL1N(),
1074
  .CFGPMRCVENTERL1N(),
1075
  .CFGPMRCVENTERL23N(),
1076
  .CFGPMRCVREQACKN(),
1077
  .CFGPMCSRPMEEN ( cfg_pmcsr_pme_en ),
1078
  .CFGPMCSRPMESTATUS ( cfg_pmcsr_pme_status ),
1079
  .CFGPMCSRPOWERSTATE ( cfg_pmcsr_powerstate ),
1080
  .CFGRDWRDONEN( cfg_rd_wr_done_n ),
1081
  .CFGSLOTCONTROLELECTROMECHILCTLPULSE(),
1082
  .CFGTRANSACTION(),
1083
  .CFGTRANSACTIONADDR(),
1084
  .CFGTRANSACTIONTYPE(),
1085
  .CFGVCTCVCMAP(),
1086
  .CFGBYTEENN( cfg_byte_en_n ),
1087
  .CFGDI( cfg_di ),
1088
  .CFGDSBUSNUMBER( cfg_ds_bus_number),
1089
  .CFGDSDEVICENUMBER( cfg_ds_device_number ),
1090
  .CFGDSFUNCTIONNUMBER( 3'b000 ),
1091
  .CFGDSN( cfg_dsn ),
1092
  .CFGDWADDR( cfg_dwaddr ),
1093
  .CFGERRACSN( 1'b1 ),
1094
  .CFGERRAERHEADERLOG( 128'h0 ),
1095
  .CFGERRCORN( cfg_err_cor_n ),
1096
  .CFGERRCPLABORTN( cfg_err_cpl_abort_n ),
1097
  .CFGERRCPLTIMEOUTN( cfg_err_cpl_timeout_n ),
1098
  .CFGERRCPLUNEXPECTN( cfg_err_cpl_unexpect_n ),
1099
  .CFGERRECRCN( cfg_err_ecrc_n ),
1100
  .CFGERRLOCKEDN( cfg_err_locked_n ),
1101
  .CFGERRPOSTEDN( cfg_err_posted_n ),
1102
  .CFGERRTLPCPLHEADER( cfg_err_tlp_cpl_header ),
1103
  .CFGERRURN( cfg_err_ur_n ),
1104
  .CFGINTERRUPTASSERTN( cfg_interrupt_assert_n ),
1105
  .CFGINTERRUPTDI( cfg_interrupt_di ),
1106
  .CFGINTERRUPTN( cfg_interrupt_n ),
1107
  .CFGPMDIRECTASPML1N( 1'b1 ),
1108
  .CFGPMSENDPMACKN( 1'b1 ),
1109
  .CFGPMSENDPMETON( cfg_pm_send_pme_to_n ),
1110
  .CFGPMSENDPMNAKN( 1'b1 ),
1111
  .CFGPMTURNOFFOKN( 1'b1 ),
1112
  .CFGPMWAKEN( ),
1113
  .CFGPORTNUMBER( 8'h0 ),
1114
  .CFGRDENN( cfg_rd_en_n ),
1115
  .CFGTRNPENDINGN( cfg_trn_pending_n ),
1116
  .CFGWRENN( cfg_wr_en_n ),
1117
  .CFGWRREADONLYN( 1'b1 ),
1118
  .CFGWRRW1CASRWN( 1'b1 ),
1119
 
1120
  .PLINITIALLINKWIDTH( pl_initial_link_width ),
1121
  .PLLANEREVERSALMODE( pl_lane_reversal_mode ),
1122
  .PLLINKGEN2CAP( pl_link_gen2_capable ),
1123
  .PLLINKPARTNERGEN2SUPPORTED( pl_link_partner_gen2_supported ),
1124
  .PLLINKUPCFGCAP( pl_link_upcfg_capable ),
1125
  .PLLTSSMSTATE( pl_ltssm_state ),
1126
  .PLPHYLNKUPN( pl_phy_lnk_up_n ),                            // Debug
1127
  .PLRECEIVEDHOTRST( ),
1128
  .PLRXPMSTATE(),                                             // Debug
1129
  .PLSELLNKRATE( pl_sel_link_rate ),
1130
  .PLSELLNKWIDTH( pl_sel_link_width ),
1131
  .PLTXPMSTATE(),                                             // Debug
1132
  .PLDIRECTEDLINKAUTON( pl_directed_link_auton ),
1133
  .PLDIRECTEDLINKCHANGE( pl_directed_link_change ),
1134
  .PLDIRECTEDLINKSPEED( pl_directed_link_speed ),
1135
  .PLDIRECTEDLINKWIDTH( pl_directed_link_width ),
1136
  .PLDOWNSTREAMDEEMPHSOURCE( 1'b1 ),
1137
  .PLUPSTREAMPREFERDEEMPH( pl_upstream_prefer_deemph ),
1138
  .PLTRANSMITHOTRST( pl_transmit_hot_rst ),
1139
 
1140
  .DBGSCLRA(),
1141
  .DBGSCLRB(),
1142
  .DBGSCLRC(),
1143
  .DBGSCLRD(),
1144
  .DBGSCLRE(),
1145
  .DBGSCLRF(),
1146
  .DBGSCLRG(),
1147
  .DBGSCLRH(),
1148
  .DBGSCLRI(),
1149
  .DBGSCLRJ(),
1150
  .DBGSCLRK(),
1151
  .DBGVECA(),
1152
  .DBGVECB(),
1153
  .DBGVECC(),
1154
  .PLDBGVEC(),
1155
  .DBGMODE( 2'b0 ),
1156
  .DBGSUBMODE( 1'b0 ),
1157
  .PLDBGMODE( 3'b0 ),
1158
 
1159
  .PCIEDRPDO( pcie_drp_do ),
1160
  .PCIEDRPDRDY( pcie_drp_drdy ),
1161
  .PCIEDRPCLK( pcie_drp_clk ),
1162
  .PCIEDRPDADDR( pcie_drp_daddr ),
1163
  .PCIEDRPDEN( pcie_drp_den ),
1164
  .PCIEDRPDI( pcie_drp_di ),
1165
  .PCIEDRPDWE( pcie_drp_dwe ),
1166
 
1167
  .GTPLLLOCK( gt_pll_lock ),
1168
  .PIPECLK( pipe_clk ),
1169
  .USERCLK( user_clk ),
1170
  .DRPCLK( drp_clk ),
1171
  .CLOCKLOCKED( clock_locked ),
1172
  .TxOutClk(TxOutClk)
1173
 
1174
);
1175
 
1176
endmodule
1177
 
1178
 
1179
module pcie_2_0_v6_rp #(
1180
    parameter        REF_CLK_FREQ = 0,                        // 0 - 100 MHz, 1 - 125 MHz, 2 - 250 MHz
1181
    parameter        PIPE_PIPELINE_STAGES = 0,                // 0 - 0 stages, 1 - 1 stage, 2 - 2 stages
1182
    parameter        AER_BASE_PTR = 12'h128,
1183
    parameter        AER_CAP_ECRC_CHECK_CAPABLE = "FALSE",
1184
    parameter        AER_CAP_ECRC_GEN_CAPABLE = "FALSE",
1185
    parameter        AER_CAP_ID = 16'h0001,
1186
    parameter        AER_CAP_INT_MSG_NUM_MSI = 5'h0a,
1187
    parameter        AER_CAP_INT_MSG_NUM_MSIX = 5'h15,
1188
    parameter        AER_CAP_NEXTPTR = 12'h160,
1189
    parameter        AER_CAP_ON = "FALSE",
1190
    parameter        AER_CAP_PERMIT_ROOTERR_UPDATE = "TRUE",
1191
    parameter        AER_CAP_VERSION = 4'h1,
1192
    parameter        ALLOW_X8_GEN2 = "FALSE",
1193
    parameter        BAR0 = 32'hffffff00,
1194
    parameter        BAR1 = 32'hffff0000,
1195
    parameter        BAR2 = 32'hffff000c,
1196
    parameter        BAR3 = 32'hffffffff,
1197
    parameter        BAR4 = 32'h00000000,
1198
    parameter        BAR5 = 32'h00000000,
1199
    parameter        CAPABILITIES_PTR = 8'h40,
1200
    parameter        CARDBUS_CIS_POINTER = 32'h00000000,
1201
    parameter        CLASS_CODE = 24'h000000,
1202
    parameter        CMD_INTX_IMPLEMENTED = "TRUE",
1203
    parameter        CPL_TIMEOUT_DISABLE_SUPPORTED = "FALSE",
1204
    parameter        CPL_TIMEOUT_RANGES_SUPPORTED = 4'h0,
1205
    parameter        CRM_MODULE_RSTS = 7'h00,
1206
    parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE = "TRUE",
1207
    parameter        DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE = "TRUE",
1208
    parameter        DEV_CAP_ENDPOINT_L0S_LATENCY = 0,
1209
    parameter        DEV_CAP_ENDPOINT_L1_LATENCY = 0,
1210
    parameter        DEV_CAP_EXT_TAG_SUPPORTED = "TRUE",
1211
    parameter        DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE = "FALSE",
1212
    parameter        DEV_CAP_MAX_PAYLOAD_SUPPORTED = 2,
1213
    parameter        DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT = 0,
1214
    parameter        DEV_CAP_ROLE_BASED_ERROR = "TRUE",
1215
    parameter        DEV_CAP_RSVD_14_12 = 0,
1216
    parameter        DEV_CAP_RSVD_17_16 = 0,
1217
    parameter        DEV_CAP_RSVD_31_29 = 0,
1218
    parameter        DEV_CONTROL_AUX_POWER_SUPPORTED = "FALSE",
1219
    parameter        DEVICE_ID = 16'h0007,
1220
    parameter        DISABLE_ASPM_L1_TIMER = "FALSE",
1221
    parameter        DISABLE_BAR_FILTERING = "FALSE",
1222
    parameter        DISABLE_ID_CHECK = "FALSE",
1223
    parameter        DISABLE_LANE_REVERSAL = "FALSE",
1224
    parameter        DISABLE_RX_TC_FILTER = "FALSE",
1225
    parameter        DISABLE_SCRAMBLING = "FALSE",
1226
    parameter        DNSTREAM_LINK_NUM = 8'h00,
1227
    parameter        DSN_BASE_PTR = 12'h100,
1228
    parameter        DSN_CAP_ID = 16'h0003,
1229
    parameter        DSN_CAP_NEXTPTR = 12'h000,
1230
    parameter        DSN_CAP_ON = "TRUE",
1231
    parameter        DSN_CAP_VERSION = 4'h1,
1232
    parameter        ENABLE_MSG_ROUTE = 11'h000,
1233
    parameter        ENABLE_RX_TD_ECRC_TRIM = "FALSE",
1234
    parameter        ENTER_RVRY_EI_L0 = "TRUE",
1235
    parameter        EXPANSION_ROM = 32'hfffff001,
1236
    parameter        EXT_CFG_CAP_PTR = 6'h3f,
1237
    parameter        EXT_CFG_XP_CAP_PTR = 10'h3ff,
1238
    parameter        HEADER_TYPE = 8'h00,
1239
    parameter        INFER_EI = 5'h00,
1240
    parameter        INTERRUPT_PIN = 8'h01,
1241
    parameter        IS_SWITCH = "FALSE",
1242
    parameter        LAST_CONFIG_DWORD = 10'h042,
1243
    parameter        LINK_CAP_ASPM_SUPPORT = 1,
1244
    parameter        LINK_CAP_CLOCK_POWER_MANAGEMENT = "FALSE",
1245
    parameter        LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP = "FALSE",
1246
    parameter        LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP = "FALSE",
1247
    parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 = 7,
1248
    parameter        LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 = 7,
1249
    parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN1 = 7,
1250
    parameter        LINK_CAP_L0S_EXIT_LATENCY_GEN2 = 7,
1251
    parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 = 7,
1252
    parameter        LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 = 7,
1253
    parameter        LINK_CAP_L1_EXIT_LATENCY_GEN1 = 7,
1254
    parameter        LINK_CAP_L1_EXIT_LATENCY_GEN2 = 7,
1255
    parameter        LINK_CAP_MAX_LINK_SPEED = 4'h1,
1256
    parameter        LINK_CAP_MAX_LINK_WIDTH = 6'h08,
1257
    parameter        LINK_CAP_RSVD_23_22 = 0,
1258
    parameter        LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE = "FALSE",
1259
    parameter        LINK_CONTROL_RCB = 0,
1260
    parameter        LINK_CTRL2_DEEMPHASIS = "FALSE",
1261
    parameter        LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE = "FALSE",
1262
    parameter        LINK_CTRL2_TARGET_LINK_SPEED = 4'h0,
1263
    parameter        LINK_STATUS_SLOT_CLOCK_CONFIG = "TRUE",
1264
    parameter        LL_ACK_TIMEOUT = 15'h0204,
1265
    parameter        LL_ACK_TIMEOUT_EN = "FALSE",
1266
    parameter        LL_ACK_TIMEOUT_FUNC = 0,
1267
    parameter        LL_REPLAY_TIMEOUT = 15'h060d,
1268
    parameter        LL_REPLAY_TIMEOUT_EN = "FALSE",
1269
    parameter        LL_REPLAY_TIMEOUT_FUNC = 0,
1270
    parameter        LTSSM_MAX_LINK_WIDTH = LINK_CAP_MAX_LINK_WIDTH,
1271
    parameter        MSI_BASE_PTR = 8'h48,
1272
    parameter        MSI_CAP_ID = 8'h05,
1273
    parameter        MSI_CAP_MULTIMSGCAP = 0,
1274
    parameter        MSI_CAP_MULTIMSG_EXTENSION = 0,
1275
    parameter        MSI_CAP_NEXTPTR = 8'h60,
1276
    parameter        MSI_CAP_ON = "FALSE",
1277
    parameter        MSI_CAP_PER_VECTOR_MASKING_CAPABLE = "TRUE",
1278
    parameter        MSI_CAP_64_BIT_ADDR_CAPABLE = "TRUE",
1279
    parameter        MSIX_BASE_PTR = 8'h9c,
1280
    parameter        MSIX_CAP_ID = 8'h11,
1281
    parameter        MSIX_CAP_NEXTPTR = 8'h00,
1282
    parameter        MSIX_CAP_ON = "FALSE",
1283
    parameter        MSIX_CAP_PBA_BIR = 0,
1284
    parameter        MSIX_CAP_PBA_OFFSET = 29'h00000050,
1285
    parameter        MSIX_CAP_TABLE_BIR = 0,
1286
    parameter        MSIX_CAP_TABLE_OFFSET = 29'h00000040,
1287
    parameter        MSIX_CAP_TABLE_SIZE = 11'h000,
1288
    parameter        N_FTS_COMCLK_GEN1 = 255,
1289
    parameter        N_FTS_COMCLK_GEN2 = 255,
1290
    parameter        N_FTS_GEN1 = 255,
1291
    parameter        N_FTS_GEN2 = 255,
1292
    parameter        PCIE_BASE_PTR = 8'h60,
1293
    parameter        PCIE_CAP_CAPABILITY_ID = 8'h10,
1294
    parameter        PCIE_CAP_CAPABILITY_VERSION = 4'h2,
1295
    parameter        PCIE_CAP_DEVICE_PORT_TYPE = 4'h0,
1296
    parameter        PCIE_CAP_INT_MSG_NUM = 5'h00,
1297
    parameter        PCIE_CAP_NEXTPTR = 8'h00,
1298
    parameter        PCIE_CAP_ON = "TRUE",
1299
    parameter        PCIE_CAP_RSVD_15_14 = 0,
1300
    parameter        PCIE_CAP_SLOT_IMPLEMENTED = "FALSE",
1301
    parameter        PCIE_REVISION = 2,
1302
    parameter        PGL0_LANE = 0,
1303
    parameter        PGL1_LANE = 1,
1304
    parameter        PGL2_LANE = 2,
1305
    parameter        PGL3_LANE = 3,
1306
    parameter        PGL4_LANE = 4,
1307
    parameter        PGL5_LANE = 5,
1308
    parameter        PGL6_LANE = 6,
1309
    parameter        PGL7_LANE = 7,
1310
    parameter        PL_AUTO_CONFIG = 0,
1311
    parameter        PL_FAST_TRAIN = "FALSE",
1312
    parameter        PM_BASE_PTR = 8'h40,
1313
    parameter        PM_CAP_AUXCURRENT = 0,
1314
    parameter        PM_CAP_DSI = "FALSE",
1315
    parameter        PM_CAP_D1SUPPORT = "TRUE",
1316
    parameter        PM_CAP_D2SUPPORT = "TRUE",
1317
    parameter        PM_CAP_ID = 8'h01,
1318
    parameter        PM_CAP_NEXTPTR = 8'h48,
1319
    parameter        PM_CAP_ON = "TRUE",
1320
    parameter        PM_CAP_PME_CLOCK = "FALSE",
1321
    parameter        PM_CAP_PMESUPPORT = 5'h0f,
1322
    parameter        PM_CAP_RSVD_04 = 0,
1323
    parameter        PM_CAP_VERSION = 3,
1324
    parameter        PM_CSR_BPCCEN = "FALSE",
1325
    parameter        PM_CSR_B2B3 = "FALSE",
1326
    parameter        PM_CSR_NOSOFTRST = "TRUE",
1327
    parameter        PM_DATA_SCALE0 = 2'h1,
1328
    parameter        PM_DATA_SCALE1 = 2'h1,
1329
    parameter        PM_DATA_SCALE2 = 2'h1,
1330
    parameter        PM_DATA_SCALE3 = 2'h1,
1331
    parameter        PM_DATA_SCALE4 = 2'h1,
1332
    parameter        PM_DATA_SCALE5 = 2'h1,
1333
    parameter        PM_DATA_SCALE6 = 2'h1,
1334
    parameter        PM_DATA_SCALE7 = 2'h1,
1335
    parameter        PM_DATA0 = 8'h01,
1336
    parameter        PM_DATA1 = 8'h01,
1337
    parameter        PM_DATA2 = 8'h01,
1338
    parameter        PM_DATA3 = 8'h01,
1339
    parameter        PM_DATA4 = 8'h01,
1340
    parameter        PM_DATA5 = 8'h01,
1341
    parameter        PM_DATA6 = 8'h01,
1342
    parameter        PM_DATA7 = 8'h01,
1343
    parameter        RECRC_CHK = 0,
1344
    parameter        RECRC_CHK_TRIM = "FALSE",
1345
    parameter        REVISION_ID = 8'h00,
1346
    parameter        ROOT_CAP_CRS_SW_VISIBILITY = "FALSE",
1347
    parameter        SELECT_DLL_IF = "FALSE",
1348
    parameter        SLOT_CAP_ATT_BUTTON_PRESENT = "FALSE",
1349
    parameter        SLOT_CAP_ATT_INDICATOR_PRESENT = "FALSE",
1350
    parameter        SLOT_CAP_ELEC_INTERLOCK_PRESENT = "FALSE",
1351
    parameter        SLOT_CAP_HOTPLUG_CAPABLE = "FALSE",
1352
    parameter        SLOT_CAP_HOTPLUG_SURPRISE = "FALSE",
1353
    parameter        SLOT_CAP_MRL_SENSOR_PRESENT = "FALSE",
1354
    parameter        SLOT_CAP_NO_CMD_COMPLETED_SUPPORT = "FALSE",
1355
    parameter        SLOT_CAP_PHYSICAL_SLOT_NUM = 13'h0000,
1356
    parameter        SLOT_CAP_POWER_CONTROLLER_PRESENT = "FALSE",
1357
    parameter        SLOT_CAP_POWER_INDICATOR_PRESENT = "FALSE",
1358
    parameter        SLOT_CAP_SLOT_POWER_LIMIT_SCALE = 0,
1359
    parameter        SLOT_CAP_SLOT_POWER_LIMIT_VALUE = 8'h00,
1360
    parameter        SPARE_BIT0 = 0,
1361
    parameter        SPARE_BIT1 = 0,
1362
    parameter        SPARE_BIT2 = 0,
1363
    parameter        SPARE_BIT3 = 0,
1364
    parameter        SPARE_BIT4 = 0,
1365
    parameter        SPARE_BIT5 = 0,
1366
    parameter        SPARE_BIT6 = 0,
1367
    parameter        SPARE_BIT7 = 0,
1368
    parameter        SPARE_BIT8 = 0,
1369
    parameter        SPARE_BYTE0 = 8'h00,
1370
    parameter        SPARE_BYTE1 = 8'h00,
1371
    parameter        SPARE_BYTE2 = 8'h00,
1372
    parameter        SPARE_BYTE3 = 8'h00,
1373
    parameter        SPARE_WORD0 = 32'h00000000,
1374
    parameter        SPARE_WORD1 = 32'h00000000,
1375
    parameter        SPARE_WORD2 = 32'h00000000,
1376
    parameter        SPARE_WORD3 = 32'h00000000,
1377
    parameter        SUBSYSTEM_ID = 16'h0007,
1378
    parameter        SUBSYSTEM_VENDOR_ID = 16'h10ee,
1379
    parameter        TL_RBYPASS = "FALSE",
1380
    parameter        TL_RX_RAM_RADDR_LATENCY = 0,
1381
    parameter        TL_RX_RAM_RDATA_LATENCY = 2,
1382
    parameter        TL_RX_RAM_WRITE_LATENCY = 0,
1383
    parameter        TL_TFC_DISABLE = "FALSE",
1384
    parameter        TL_TX_CHECKS_DISABLE = "FALSE",
1385
    parameter        TL_TX_RAM_RADDR_LATENCY = 0,
1386
    parameter        TL_TX_RAM_RDATA_LATENCY = 2,
1387
    parameter        TL_TX_RAM_WRITE_LATENCY = 0,
1388
    parameter        UPCONFIG_CAPABLE = "TRUE",
1389
    parameter        UPSTREAM_FACING = "TRUE",
1390
    parameter        EXIT_LOOPBACK_ON_EI = "TRUE",
1391
    parameter        UR_INV_REQ = "TRUE",
1392
    parameter        USER_CLK_FREQ = 3,
1393
    parameter        VC_BASE_PTR = 12'h10c,
1394
    parameter        VC_CAP_ID = 16'h0002,
1395
    parameter        VC_CAP_NEXTPTR = 12'h000,
1396
    parameter        VC_CAP_ON = "FALSE",
1397
    parameter        VC_CAP_REJECT_SNOOP_TRANSACTIONS = "FALSE",
1398
    parameter        VC_CAP_VERSION = 4'h1,
1399
    parameter        VC0_CPL_INFINITE = "TRUE",
1400
    parameter        VC0_RX_RAM_LIMIT = 13'h03ff,
1401
    parameter        VC0_TOTAL_CREDITS_CD = 127,
1402
    parameter        VC0_TOTAL_CREDITS_CH = 31,
1403
    parameter        VC0_TOTAL_CREDITS_NPH = 12,
1404
    parameter        VC0_TOTAL_CREDITS_PD = 288,
1405
    parameter        VC0_TOTAL_CREDITS_PH = 32,
1406
    parameter        VC0_TX_LASTPACKET = 31,
1407
    parameter        VENDOR_ID = 16'h10ee,
1408
    parameter        VSEC_BASE_PTR = 12'h160,
1409
    parameter        VSEC_CAP_HDR_ID = 16'h1234,
1410
    parameter        VSEC_CAP_HDR_LENGTH = 12'h018,
1411
    parameter        VSEC_CAP_HDR_REVISION = 4'h1,
1412
    parameter        VSEC_CAP_ID = 16'h000b,
1413
    parameter        VSEC_CAP_IS_LINK_VISIBLE = "TRUE",
1414
    parameter        VSEC_CAP_NEXTPTR = 12'h000,
1415
    parameter        VSEC_CAP_ON = "FALSE",
1416
    parameter        VSEC_CAP_VERSION = 4'h1
1417
 
1418
)
1419
(
1420
 
1421
    input            [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPRXN,
1422
    input            [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPRXP,
1423
    output           [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPTXN,
1424
    output           [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0]  PCIEXPTXP,
1425
 
1426
    input            SYSCLK,
1427
    input            FUNDRSTN,
1428
 
1429
    output           TRNLNKUPN,
1430
    output           TRNCLK,
1431
 
1432
    output           PHYRDYN,
1433
    output           USERRSTN,
1434
    output           RECEIVEDFUNCLVLRSTN,
1435
    output           LNKCLKEN,
1436
    input            SYSRSTN,
1437
    input            PLRSTN,
1438
    input            DLRSTN,
1439
    input            TLRSTN,
1440
    input            FUNCLVLRSTN,
1441
    input            CMRSTN,
1442
    input            CMSTICKYRSTN,
1443
 
1444
    output [6:0]     TRNRBARHITN,
1445
    output [63:0]    TRNRD,
1446
    output           TRNRECRCERRN,
1447
    output           TRNREOFN,
1448
    output           TRNRERRFWDN,
1449
    output           TRNRREMN,
1450
    output           TRNRSOFN,
1451
    output           TRNRSRCDSCN,
1452
    output           TRNRSRCRDYN,
1453
    input            TRNRDSTRDYN,
1454
    input            TRNRNPOKN,
1455
 
1456
    output [5:0]     TRNTBUFAV,
1457
    output           TRNTCFGREQN,
1458
    output           TRNTDLLPDSTRDYN,
1459
    output           TRNTDSTRDYN,
1460
    output           TRNTERRDROPN,
1461
    input            TRNTCFGGNTN,
1462
    input  [63:0]    TRNTD,
1463
    input  [31:0]    TRNTDLLPDATA,
1464
    input            TRNTDLLPSRCRDYN,
1465
    input            TRNTECRCGENN,
1466
    input            TRNTEOFN,
1467
    input            TRNTERRFWDN,
1468
    input            TRNTREMN,
1469
    input            TRNTSOFN,
1470
    input            TRNTSRCDSCN,
1471
    input            TRNTSRCRDYN,
1472
    input            TRNTSTRN,
1473
 
1474
    output [11:0]    TRNFCCPLD,
1475
    output [7:0]     TRNFCCPLH,
1476
    output [11:0]    TRNFCNPD,
1477
    output [7:0]     TRNFCNPH,
1478
    output [11:0]    TRNFCPD,
1479
    output [7:0]     TRNFCPH,
1480
    input  [2:0]     TRNFCSEL,
1481
 
1482
    output           CFGAERECRCCHECKEN,
1483
    output           CFGAERECRCGENEN,
1484
    output           CFGCOMMANDBUSMASTERENABLE,
1485
    output           CFGCOMMANDINTERRUPTDISABLE,
1486
    output           CFGCOMMANDIOENABLE,
1487
    output           CFGCOMMANDMEMENABLE,
1488
    output           CFGCOMMANDSERREN,
1489
    output           CFGDEVCONTROLAUXPOWEREN,
1490
    output           CFGDEVCONTROLCORRERRREPORTINGEN,
1491
    output           CFGDEVCONTROLENABLERO,
1492
    output           CFGDEVCONTROLEXTTAGEN,
1493
    output           CFGDEVCONTROLFATALERRREPORTINGEN,
1494
    output [2:0]     CFGDEVCONTROLMAXPAYLOAD,
1495
    output [2:0]     CFGDEVCONTROLMAXREADREQ,
1496
    output           CFGDEVCONTROLNONFATALREPORTINGEN,
1497
    output           CFGDEVCONTROLNOSNOOPEN,
1498
    output           CFGDEVCONTROLPHANTOMEN,
1499
    output           CFGDEVCONTROLURERRREPORTINGEN,
1500
    output           CFGDEVCONTROL2CPLTIMEOUTDIS,
1501
    output [3:0]     CFGDEVCONTROL2CPLTIMEOUTVAL,
1502
    output           CFGDEVSTATUSCORRERRDETECTED,
1503
    output           CFGDEVSTATUSFATALERRDETECTED,
1504
    output           CFGDEVSTATUSNONFATALERRDETECTED,
1505
    output           CFGDEVSTATUSURDETECTED,
1506
    output [31:0]    CFGDO,
1507
    output           CFGERRAERHEADERLOGSETN,
1508
    output           CFGERRCPLRDYN,
1509
    output [7:0]     CFGINTERRUPTDO,
1510
    output [2:0]     CFGINTERRUPTMMENABLE,
1511
    output           CFGINTERRUPTMSIENABLE,
1512
    output           CFGINTERRUPTMSIXENABLE,
1513
    output           CFGINTERRUPTMSIXFM,
1514
    output           CFGINTERRUPTRDYN,
1515
    output           CFGLINKCONTROLRCB,
1516
    output [1:0]     CFGLINKCONTROLASPMCONTROL,
1517
    output           CFGLINKCONTROLAUTOBANDWIDTHINTEN,
1518
    output           CFGLINKCONTROLBANDWIDTHINTEN,
1519
    output           CFGLINKCONTROLCLOCKPMEN,
1520
    output           CFGLINKCONTROLCOMMONCLOCK,
1521
    output           CFGLINKCONTROLEXTENDEDSYNC,
1522
    output           CFGLINKCONTROLHWAUTOWIDTHDIS,
1523
    output           CFGLINKCONTROLLINKDISABLE,
1524
    output           CFGLINKCONTROLRETRAINLINK,
1525
    output           CFGLINKSTATUSAUTOBANDWIDTHSTATUS,
1526
    output           CFGLINKSTATUSBANDWITHSTATUS,
1527
    output [1:0]     CFGLINKSTATUSCURRENTSPEED,
1528
    output           CFGLINKSTATUSDLLACTIVE,
1529
    output           CFGLINKSTATUSLINKTRAINING,
1530
    output [3:0]     CFGLINKSTATUSNEGOTIATEDWIDTH,
1531
    output [15:0]    CFGMSGDATA,
1532
    output           CFGMSGRECEIVED,
1533
    output           CFGMSGRECEIVEDASSERTINTA,
1534
    output           CFGMSGRECEIVEDASSERTINTB,
1535
    output           CFGMSGRECEIVEDASSERTINTC,
1536
    output           CFGMSGRECEIVEDASSERTINTD,
1537
    output           CFGMSGRECEIVEDDEASSERTINTA,
1538
    output           CFGMSGRECEIVEDDEASSERTINTB,
1539
    output           CFGMSGRECEIVEDDEASSERTINTC,
1540
    output           CFGMSGRECEIVEDDEASSERTINTD,
1541
    output           CFGMSGRECEIVEDERRCOR,
1542
    output           CFGMSGRECEIVEDERRFATAL,
1543
    output           CFGMSGRECEIVEDERRNONFATAL,
1544
    output           CFGMSGRECEIVEDPMASNAK,
1545
    output           CFGMSGRECEIVEDPMETO,
1546
    output           CFGMSGRECEIVEDPMETOACK,
1547
    output           CFGMSGRECEIVEDPMPME,
1548
    output           CFGMSGRECEIVEDSETSLOTPOWERLIMIT,
1549
    output           CFGMSGRECEIVEDUNLOCK,
1550
    output [2:0]     CFGPCIELINKSTATE,
1551
    output           CFGPMRCVASREQL1N,
1552
    output           CFGPMRCVENTERL1N,
1553
    output           CFGPMRCVENTERL23N,
1554
    output           CFGPMRCVREQACKN,
1555
    output           CFGPMCSRPMEEN,
1556
    output           CFGPMCSRPMESTATUS,
1557
    output [1:0]     CFGPMCSRPOWERSTATE,
1558
 
1559
    output           CFGRDWRDONEN,
1560
    output           CFGSLOTCONTROLELECTROMECHILCTLPULSE,
1561
    output           CFGTRANSACTION,
1562
    output [6:0]     CFGTRANSACTIONADDR,
1563
    output           CFGTRANSACTIONTYPE,
1564
    output [6:0]     CFGVCTCVCMAP,
1565
    input  [3:0]     CFGBYTEENN,
1566
    input  [31:0]    CFGDI,
1567
    input  [7:0]     CFGDSBUSNUMBER,
1568
    input  [4:0]     CFGDSDEVICENUMBER,
1569
    input  [2:0]     CFGDSFUNCTIONNUMBER,
1570
    input  [63:0]    CFGDSN,
1571
    input  [9:0]     CFGDWADDR,
1572
    input            CFGERRACSN,
1573
    input  [127:0]   CFGERRAERHEADERLOG,
1574
    input            CFGERRCORN,
1575
    input            CFGERRCPLABORTN,
1576
    input            CFGERRCPLTIMEOUTN,
1577
    input            CFGERRCPLUNEXPECTN,
1578
    input            CFGERRECRCN,
1579
    input            CFGERRLOCKEDN,
1580
    input            CFGERRPOSTEDN,
1581
    input  [47:0]    CFGERRTLPCPLHEADER,
1582
    input            CFGERRURN,
1583
    input            CFGINTERRUPTASSERTN,
1584
    input  [7:0]     CFGINTERRUPTDI,
1585
    input            CFGINTERRUPTN,
1586
    input            CFGPMDIRECTASPML1N,
1587
    input            CFGPMSENDPMACKN,
1588
    input            CFGPMSENDPMETON,
1589
    input            CFGPMSENDPMNAKN,
1590
    input            CFGPMTURNOFFOKN,
1591
    input            CFGPMWAKEN,
1592
    input  [7:0]     CFGPORTNUMBER,
1593
    input            CFGRDENN,
1594
    input            CFGTRNPENDINGN,
1595
    input            CFGWRENN,
1596
    input            CFGWRREADONLYN,
1597
    input            CFGWRRW1CASRWN,
1598
 
1599
    output [2:0]     PLINITIALLINKWIDTH,
1600
    output [1:0]     PLLANEREVERSALMODE,
1601
    output           PLLINKGEN2CAP,
1602
    output           PLLINKPARTNERGEN2SUPPORTED,
1603
    output           PLLINKUPCFGCAP,
1604
    output [5:0]     PLLTSSMSTATE,
1605
    output           PLPHYLNKUPN,
1606
    output           PLRECEIVEDHOTRST,
1607
    output [1:0]     PLRXPMSTATE,
1608
    output           PLSELLNKRATE,
1609
    output [1:0]     PLSELLNKWIDTH,
1610
    output [2:0]     PLTXPMSTATE,
1611
    input            PLDIRECTEDLINKAUTON,
1612
    input  [1:0]     PLDIRECTEDLINKCHANGE,
1613
    input            PLDIRECTEDLINKSPEED,
1614
    input  [1:0]     PLDIRECTEDLINKWIDTH,
1615
    input            PLDOWNSTREAMDEEMPHSOURCE,
1616
    input            PLUPSTREAMPREFERDEEMPH,
1617
    input            PLTRANSMITHOTRST,
1618
 
1619
    output           DBGSCLRA,
1620
    output           DBGSCLRB,
1621
    output           DBGSCLRC,
1622
    output           DBGSCLRD,
1623
    output           DBGSCLRE,
1624
    output           DBGSCLRF,
1625
    output           DBGSCLRG,
1626
    output           DBGSCLRH,
1627
    output           DBGSCLRI,
1628
    output           DBGSCLRJ,
1629
    output           DBGSCLRK,
1630
    output [63:0]    DBGVECA,
1631
    output [63:0]    DBGVECB,
1632
    output [11:0]    DBGVECC,
1633
    output [11:0]    PLDBGVEC,
1634
    input  [1:0]     DBGMODE,
1635
    input            DBGSUBMODE,
1636
    input  [2:0]     PLDBGMODE,
1637
    output [15:0]    PCIEDRPDO,
1638
    output           PCIEDRPDRDY,
1639
    input            PCIEDRPCLK,
1640
    input  [8:0]     PCIEDRPDADDR,
1641
    input            PCIEDRPDEN,
1642
    input  [15:0]    PCIEDRPDI,
1643
    input            PCIEDRPDWE,
1644
 
1645
    output           GTPLLLOCK,
1646
    input            PIPECLK,
1647
    input            USERCLK,
1648
    input            DRPCLK,
1649
    input            CLOCKLOCKED,
1650
    output           TxOutClk
1651
 
1652
 
1653
    );
1654
 
1655
    // wire declarations
1656
 
1657
    wire             LL2BADDLLPERRN;
1658
    wire             LL2BADTLPERRN;
1659
    wire             LL2PROTOCOLERRN;
1660
    wire             LL2REPLAYROERRN;
1661
    wire             LL2REPLAYTOERRN;
1662
    wire             LL2SUSPENDOKN;
1663
    wire             LL2TFCINIT1SEQN;
1664
    wire             LL2TFCINIT2SEQN;
1665
    wire [12:0]      MIMRXRADDR;
1666
    wire             MIMRXRCE;
1667
    wire             MIMRXREN;
1668
    wire [12:0]      MIMRXWADDR;
1669
    wire [67:0]      MIMRXWDATA;
1670
    wire             MIMRXWEN;
1671
    wire [12:0]      MIMTXRADDR;
1672
    wire             MIMTXRCE;
1673
    wire             MIMTXREN;
1674
    wire [12:0]      MIMTXWADDR;
1675
    wire [68:0]      MIMTXWDATA;
1676
    wire             MIMTXWEN;
1677
    wire             PIPERX0POLARITY;
1678
    wire             PIPERX1POLARITY;
1679
    wire             PIPERX2POLARITY;
1680
    wire             PIPERX3POLARITY;
1681
    wire             PIPERX4POLARITY;
1682
    wire             PIPERX5POLARITY;
1683
    wire             PIPERX6POLARITY;
1684
    wire             PIPERX7POLARITY;
1685
    wire             PIPETXDEEMPH;
1686
    wire [2:0]       PIPETXMARGIN;
1687
    wire             PIPETXRATE;
1688
    wire             PIPETXRCVRDET;
1689
    wire             PIPETXRESET;
1690
    wire [1:0]       PIPETX0CHARISK;
1691
    wire             PIPETX0COMPLIANCE;
1692
    wire [15:0]      PIPETX0DATA;
1693
    wire             PIPETX0ELECIDLE;
1694
    wire [1:0]       PIPETX0POWERDOWN;
1695
    wire [1:0]       PIPETX1CHARISK;
1696
    wire             PIPETX1COMPLIANCE;
1697
    wire [15:0]      PIPETX1DATA;
1698
    wire             PIPETX1ELECIDLE;
1699
    wire [1:0]       PIPETX1POWERDOWN;
1700
    wire [1:0]       PIPETX2CHARISK;
1701
    wire             PIPETX2COMPLIANCE;
1702
    wire [15:0]      PIPETX2DATA;
1703
    wire             PIPETX2ELECIDLE;
1704
    wire [1:0]       PIPETX2POWERDOWN;
1705
    wire [1:0]       PIPETX3CHARISK;
1706
    wire             PIPETX3COMPLIANCE;
1707
    wire [15:0]      PIPETX3DATA;
1708
    wire             PIPETX3ELECIDLE;
1709
    wire [1:0]       PIPETX3POWERDOWN;
1710
    wire [1:0]       PIPETX4CHARISK;
1711
    wire             PIPETX4COMPLIANCE;
1712
    wire [15:0]      PIPETX4DATA;
1713
    wire             PIPETX4ELECIDLE;
1714
    wire [1:0]       PIPETX4POWERDOWN;
1715
    wire [1:0]       PIPETX5CHARISK;
1716
    wire             PIPETX5COMPLIANCE;
1717
    wire [15:0]      PIPETX5DATA;
1718
    wire             PIPETX5ELECIDLE;
1719
    wire [1:0]       PIPETX5POWERDOWN;
1720
    wire [1:0]       PIPETX6CHARISK;
1721
    wire             PIPETX6COMPLIANCE;
1722
    wire [15:0]      PIPETX6DATA;
1723
    wire             PIPETX6ELECIDLE;
1724
    wire [1:0]       PIPETX6POWERDOWN;
1725
    wire [1:0]       PIPETX7CHARISK;
1726
    wire             PIPETX7COMPLIANCE;
1727
    wire [15:0]      PIPETX7DATA;
1728
    wire             PIPETX7ELECIDLE;
1729
    wire [1:0]       PIPETX7POWERDOWN;
1730
    wire             PL2LINKUPN;
1731
    wire             PL2RECEIVERERRN;
1732
    wire             PL2RECOVERYN;
1733
    wire             PL2RXELECIDLE;
1734
    wire             PL2SUSPENDOK;
1735
    wire             TL2ASPMSUSPENDCREDITCHECKOKN;
1736
    wire             TL2ASPMSUSPENDREQN;
1737
    wire             TL2PPMSUSPENDOKN;
1738
    wire             LL2SENDASREQL1N = 1'b1;
1739
    wire             LL2SENDENTERL1N = 1'b1;
1740
    wire             LL2SENDENTERL23N = 1'b1;
1741
    wire             LL2SUSPENDNOWN = 1'b1;
1742
    wire             LL2TLPRCVN = 1'b1;
1743
    wire  [67:0]     MIMRXRDATA;
1744
    wire  [68:0]     MIMTXRDATA;
1745
    wire  [4:0]      PL2DIRECTEDLSTATE = 5'b0;
1746
    wire             TL2ASPMSUSPENDCREDITCHECKN;
1747
    wire             TL2PPMSUSPENDREQN;
1748
    wire             PIPERX0CHANISALIGNED;
1749
    wire  [1:0]      PIPERX0CHARISK;
1750
    wire  [15:0]     PIPERX0DATA;
1751
    wire             PIPERX0ELECIDLE;
1752
    wire             PIPERX0PHYSTATUS;
1753
    wire  [2:0]      PIPERX0STATUS;
1754
    wire             PIPERX0VALID;
1755
    wire             PIPERX1CHANISALIGNED;
1756
    wire  [1:0]      PIPERX1CHARISK;
1757
    wire  [15:0]     PIPERX1DATA;
1758
    wire             PIPERX1ELECIDLE;
1759
    wire             PIPERX1PHYSTATUS;
1760
    wire  [2:0]      PIPERX1STATUS;
1761
    wire             PIPERX1VALID;
1762
    wire             PIPERX2CHANISALIGNED;
1763
    wire  [1:0]      PIPERX2CHARISK;
1764
    wire  [15:0]     PIPERX2DATA;
1765
    wire             PIPERX2ELECIDLE;
1766
    wire             PIPERX2PHYSTATUS;
1767
    wire  [2:0]      PIPERX2STATUS;
1768
    wire             PIPERX2VALID;
1769
    wire             PIPERX3CHANISALIGNED;
1770
    wire  [1:0]      PIPERX3CHARISK;
1771
    wire  [15:0]     PIPERX3DATA;
1772
    wire             PIPERX3ELECIDLE;
1773
    wire             PIPERX3PHYSTATUS;
1774
    wire  [2:0]      PIPERX3STATUS;
1775
    wire             PIPERX3VALID;
1776
    wire             PIPERX4CHANISALIGNED;
1777
    wire  [1:0]      PIPERX4CHARISK;
1778
    wire  [15:0]     PIPERX4DATA;
1779
    wire             PIPERX4ELECIDLE;
1780
    wire             PIPERX4PHYSTATUS;
1781
    wire  [2:0]      PIPERX4STATUS;
1782
    wire             PIPERX4VALID;
1783
    wire             PIPERX5CHANISALIGNED;
1784
    wire  [1:0]      PIPERX5CHARISK;
1785
    wire  [15:0]     PIPERX5DATA;
1786
    wire             PIPERX5ELECIDLE;
1787
    wire             PIPERX5PHYSTATUS;
1788
    wire  [2:0]      PIPERX5STATUS;
1789
    wire             PIPERX5VALID;
1790
    wire             PIPERX6CHANISALIGNED;
1791
    wire  [1:0]      PIPERX6CHARISK;
1792
    wire  [15:0]     PIPERX6DATA;
1793
    wire             PIPERX6ELECIDLE;
1794
    wire             PIPERX6PHYSTATUS;
1795
    wire  [2:0]      PIPERX6STATUS;
1796
    wire             PIPERX6VALID;
1797
    wire             PIPERX7CHANISALIGNED;
1798
    wire  [1:0]      PIPERX7CHARISK;
1799
    wire  [15:0]     PIPERX7DATA;
1800
    wire             PIPERX7ELECIDLE;
1801
    wire             PIPERX7PHYSTATUS;
1802
    wire  [2:0]      PIPERX7STATUS;
1803
    wire             PIPERX7VALID;
1804
 
1805
    wire             PIPERX0POLARITYGT;
1806
    wire             PIPERX1POLARITYGT;
1807
    wire             PIPERX2POLARITYGT;
1808
    wire             PIPERX3POLARITYGT;
1809
    wire             PIPERX4POLARITYGT;
1810
    wire             PIPERX5POLARITYGT;
1811
    wire             PIPERX6POLARITYGT;
1812
    wire             PIPERX7POLARITYGT;
1813
    wire             PIPETXDEEMPHGT;
1814
    wire [2:0]       PIPETXMARGINGT;
1815
    wire             PIPETXRATEGT;
1816
    wire             PIPETXRCVRDETGT;
1817
    wire [1:0]       PIPETX0CHARISKGT;
1818
    wire             PIPETX0COMPLIANCEGT;
1819
    wire [15:0]      PIPETX0DATAGT;
1820
    wire             PIPETX0ELECIDLEGT;
1821
    wire [1:0]       PIPETX0POWERDOWNGT;
1822
    wire [1:0]       PIPETX1CHARISKGT;
1823
    wire             PIPETX1COMPLIANCEGT;
1824
    wire [15:0]      PIPETX1DATAGT;
1825
    wire             PIPETX1ELECIDLEGT;
1826
    wire [1:0]       PIPETX1POWERDOWNGT;
1827
    wire [1:0]       PIPETX2CHARISKGT;
1828
    wire             PIPETX2COMPLIANCEGT;
1829
    wire [15:0]      PIPETX2DATAGT;
1830
    wire             PIPETX2ELECIDLEGT;
1831
    wire [1:0]       PIPETX2POWERDOWNGT;
1832
    wire [1:0]       PIPETX3CHARISKGT;
1833
    wire             PIPETX3COMPLIANCEGT;
1834
    wire [15:0]      PIPETX3DATAGT;
1835
    wire             PIPETX3ELECIDLEGT;
1836
    wire [1:0]       PIPETX3POWERDOWNGT;
1837
    wire [1:0]       PIPETX4CHARISKGT;
1838
    wire             PIPETX4COMPLIANCEGT;
1839
    wire [15:0]      PIPETX4DATAGT;
1840
    wire             PIPETX4ELECIDLEGT;
1841
    wire [1:0]       PIPETX4POWERDOWNGT;
1842
    wire [1:0]       PIPETX5CHARISKGT;
1843
    wire             PIPETX5COMPLIANCEGT;
1844
    wire [15:0]      PIPETX5DATAGT;
1845
    wire             PIPETX5ELECIDLEGT;
1846
    wire [1:0]       PIPETX5POWERDOWNGT;
1847
    wire [1:0]       PIPETX6CHARISKGT;
1848
    wire             PIPETX6COMPLIANCEGT;
1849
    wire [15:0]      PIPETX6DATAGT;
1850
    wire             PIPETX6ELECIDLEGT;
1851
    wire [1:0]       PIPETX6POWERDOWNGT;
1852
    wire [1:0]       PIPETX7CHARISKGT;
1853
    wire             PIPETX7COMPLIANCEGT;
1854
    wire [15:0]      PIPETX7DATAGT;
1855
    wire             PIPETX7ELECIDLEGT;
1856
    wire [1:0]       PIPETX7POWERDOWNGT;
1857
 
1858
    wire             PIPERX0CHANISALIGNEDGT;
1859
    wire  [1:0]      PIPERX0CHARISKGT;
1860
    wire  [15:0]     PIPERX0DATAGT;
1861
    wire             PIPERX0ELECIDLEGT;
1862
    wire             PIPERX0PHYSTATUSGT;
1863
    wire  [2:0]      PIPERX0STATUSGT;
1864
    wire             PIPERX0VALIDGT;
1865
    wire             PIPERX1CHANISALIGNEDGT;
1866
    wire  [1:0]      PIPERX1CHARISKGT;
1867
    wire  [15:0]     PIPERX1DATAGT;
1868
    wire             PIPERX1ELECIDLEGT;
1869
    wire             PIPERX1PHYSTATUSGT;
1870
    wire  [2:0]      PIPERX1STATUSGT;
1871
    wire             PIPERX1VALIDGT;
1872
    wire             PIPERX2CHANISALIGNEDGT;
1873
    wire  [1:0]      PIPERX2CHARISKGT;
1874
    wire  [15:0]     PIPERX2DATAGT;
1875
    wire             PIPERX2ELECIDLEGT;
1876
    wire             PIPERX2PHYSTATUSGT;
1877
    wire  [2:0]      PIPERX2STATUSGT;
1878
    wire             PIPERX2VALIDGT;
1879
    wire             PIPERX3CHANISALIGNEDGT;
1880
    wire  [1:0]      PIPERX3CHARISKGT;
1881
    wire  [15:0]     PIPERX3DATAGT;
1882
    wire             PIPERX3ELECIDLEGT;
1883
    wire             PIPERX3PHYSTATUSGT;
1884
    wire  [2:0]      PIPERX3STATUSGT;
1885
    wire             PIPERX3VALIDGT;
1886
    wire             PIPERX4CHANISALIGNEDGT;
1887
    wire  [1:0]      PIPERX4CHARISKGT;
1888
    wire  [15:0]     PIPERX4DATAGT;
1889
    wire             PIPERX4ELECIDLEGT;
1890
    wire             PIPERX4PHYSTATUSGT;
1891
    wire  [2:0]      PIPERX4STATUSGT;
1892
    wire             PIPERX4VALIDGT;
1893
    wire             PIPERX5CHANISALIGNEDGT;
1894
    wire  [1:0]      PIPERX5CHARISKGT;
1895
    wire  [15:0]     PIPERX5DATAGT;
1896
    wire             PIPERX5ELECIDLEGT;
1897
    wire             PIPERX5PHYSTATUSGT;
1898
    wire  [2:0]      PIPERX5STATUSGT;
1899
    wire             PIPERX5VALIDGT;
1900
    wire             PIPERX6CHANISALIGNEDGT;
1901
    wire  [1:0]      PIPERX6CHARISKGT;
1902
    wire  [15:0]     PIPERX6DATAGT;
1903
    wire             PIPERX6ELECIDLEGT;
1904
    wire             PIPERX6PHYSTATUSGT;
1905
    wire  [2:0]      PIPERX6STATUSGT;
1906
    wire             PIPERX6VALIDGT;
1907
    wire             PIPERX7CHANISALIGNEDGT;
1908
    wire  [1:0]      PIPERX7CHARISKGT;
1909
    wire  [15:0]     PIPERX7DATAGT;
1910
    wire             PIPERX7ELECIDLEGT;
1911
    wire             PIPERX7PHYSTATUSGT;
1912
    wire  [2:0]      PIPERX7STATUSGT;
1913
    wire             PIPERX7VALIDGT;
1914
 
1915
    wire             filter_pipe_upconfig_fix_3451;
1916
 
1917
// Assignments to outputs
1918
 
1919
    assign TRNCLK = USERCLK;
1920
 
1921
//-------------------------------------------------------
1922
// Virtex6 PCI Express Block Module
1923
//-------------------------------------------------------
1924
 
1925
PCIE_2_0 #(
1926
 
1927
  .AER_BASE_PTR ( AER_BASE_PTR ),
1928
  .AER_CAP_ECRC_CHECK_CAPABLE ( AER_CAP_ECRC_CHECK_CAPABLE ),
1929
  .AER_CAP_ECRC_GEN_CAPABLE ( AER_CAP_ECRC_GEN_CAPABLE ),
1930
  .AER_CAP_ID ( AER_CAP_ID ),
1931
  .AER_CAP_INT_MSG_NUM_MSI ( AER_CAP_INT_MSG_NUM_MSI ),
1932
  .AER_CAP_INT_MSG_NUM_MSIX ( AER_CAP_INT_MSG_NUM_MSIX ),
1933
  .AER_CAP_NEXTPTR ( AER_CAP_NEXTPTR ),
1934
  .AER_CAP_ON ( AER_CAP_ON ),
1935
  .AER_CAP_PERMIT_ROOTERR_UPDATE ( AER_CAP_PERMIT_ROOTERR_UPDATE ),
1936
  .AER_CAP_VERSION ( AER_CAP_VERSION ),
1937
  .ALLOW_X8_GEN2 ( ALLOW_X8_GEN2 ),
1938
  .BAR0 ( BAR0 ),
1939
  .BAR1 ( BAR1 ),
1940
  .BAR2 ( BAR2 ),
1941
  .BAR3 ( BAR3 ),
1942
  .BAR4 ( BAR4 ),
1943
  .BAR5 ( BAR5 ),
1944
  .CAPABILITIES_PTR ( CAPABILITIES_PTR ),
1945
  .CARDBUS_CIS_POINTER ( CARDBUS_CIS_POINTER ),
1946
  .CLASS_CODE ( CLASS_CODE ),
1947
  .CMD_INTX_IMPLEMENTED ( CMD_INTX_IMPLEMENTED ),
1948
  .CPL_TIMEOUT_DISABLE_SUPPORTED ( CPL_TIMEOUT_DISABLE_SUPPORTED ),
1949
  .CPL_TIMEOUT_RANGES_SUPPORTED ( CPL_TIMEOUT_RANGES_SUPPORTED ),
1950
  .CRM_MODULE_RSTS ( CRM_MODULE_RSTS ),
1951
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ),
1952
  .DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ),
1953
  .DEV_CAP_ENDPOINT_L0S_LATENCY ( DEV_CAP_ENDPOINT_L0S_LATENCY ),
1954
  .DEV_CAP_ENDPOINT_L1_LATENCY ( DEV_CAP_ENDPOINT_L1_LATENCY ),
1955
  .DEV_CAP_EXT_TAG_SUPPORTED ( DEV_CAP_EXT_TAG_SUPPORTED ),
1956
  .DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ( DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ),
1957
  .DEV_CAP_MAX_PAYLOAD_SUPPORTED ( DEV_CAP_MAX_PAYLOAD_SUPPORTED ),
1958
  .DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ( DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ),
1959
  .DEV_CAP_ROLE_BASED_ERROR ( DEV_CAP_ROLE_BASED_ERROR ),
1960
  .DEV_CAP_RSVD_14_12 ( DEV_CAP_RSVD_14_12 ),
1961
  .DEV_CAP_RSVD_17_16 ( DEV_CAP_RSVD_17_16 ),
1962
  .DEV_CAP_RSVD_31_29 ( DEV_CAP_RSVD_31_29 ),
1963
  .DEV_CONTROL_AUX_POWER_SUPPORTED ( DEV_CONTROL_AUX_POWER_SUPPORTED ),
1964
  .DEVICE_ID ( DEVICE_ID ),
1965
  .DISABLE_ASPM_L1_TIMER ( DISABLE_ASPM_L1_TIMER ),
1966
  .DISABLE_BAR_FILTERING ( DISABLE_BAR_FILTERING ),
1967
  .DISABLE_ID_CHECK ( DISABLE_ID_CHECK ),
1968
  .DISABLE_LANE_REVERSAL ( DISABLE_LANE_REVERSAL ),
1969
  .DISABLE_RX_TC_FILTER ( DISABLE_RX_TC_FILTER ),
1970
  .DISABLE_SCRAMBLING ( DISABLE_SCRAMBLING ),
1971
  .DNSTREAM_LINK_NUM ( DNSTREAM_LINK_NUM ),
1972
  .DSN_BASE_PTR ( DSN_BASE_PTR ),
1973
  .DSN_CAP_ID ( DSN_CAP_ID ),
1974
  .DSN_CAP_NEXTPTR ( DSN_CAP_NEXTPTR ),
1975
  .DSN_CAP_ON ( DSN_CAP_ON ),
1976
  .DSN_CAP_VERSION ( DSN_CAP_VERSION ),
1977
  .ENABLE_MSG_ROUTE ( ENABLE_MSG_ROUTE ),
1978
  .ENABLE_RX_TD_ECRC_TRIM ( ENABLE_RX_TD_ECRC_TRIM ),
1979
  .ENTER_RVRY_EI_L0 ( ENTER_RVRY_EI_L0 ),
1980
  .EXPANSION_ROM ( EXPANSION_ROM ),
1981
  .EXT_CFG_CAP_PTR ( EXT_CFG_CAP_PTR ),
1982
  .EXT_CFG_XP_CAP_PTR ( EXT_CFG_XP_CAP_PTR ),
1983
  .HEADER_TYPE ( HEADER_TYPE ),
1984
  .INFER_EI ( INFER_EI ),
1985
  .INTERRUPT_PIN ( INTERRUPT_PIN ),
1986
  .IS_SWITCH ( IS_SWITCH ),
1987
  .LAST_CONFIG_DWORD ( LAST_CONFIG_DWORD ),
1988
  .LINK_CAP_ASPM_SUPPORT ( LINK_CAP_ASPM_SUPPORT ),
1989
  .LINK_CAP_CLOCK_POWER_MANAGEMENT ( LINK_CAP_CLOCK_POWER_MANAGEMENT ),
1990
  .LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ( LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ),
1991
  .LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ( LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ),
1992
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ),
1993
  .LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ),
1994
  .LINK_CAP_L0S_EXIT_LATENCY_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_GEN1 ),
1995
  .LINK_CAP_L0S_EXIT_LATENCY_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_GEN2 ),
1996
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ),
1997
  .LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ),
1998
  .LINK_CAP_L1_EXIT_LATENCY_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_GEN1 ),
1999
  .LINK_CAP_L1_EXIT_LATENCY_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_GEN2 ),
2000
  .LINK_CAP_MAX_LINK_SPEED ( LINK_CAP_MAX_LINK_SPEED ),
2001
  .LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH ),
2002
  .LINK_CAP_RSVD_23_22 ( LINK_CAP_RSVD_23_22 ),
2003
  .LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ( LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ),
2004
  .LINK_CONTROL_RCB ( LINK_CONTROL_RCB ),
2005
  .LINK_CTRL2_DEEMPHASIS ( LINK_CTRL2_DEEMPHASIS ),
2006
  .LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ( LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ),
2007
  .LINK_CTRL2_TARGET_LINK_SPEED ( LINK_CTRL2_TARGET_LINK_SPEED ),
2008
  .LINK_STATUS_SLOT_CLOCK_CONFIG ( LINK_STATUS_SLOT_CLOCK_CONFIG ),
2009
  .LL_ACK_TIMEOUT ( LL_ACK_TIMEOUT ),
2010
  .LL_ACK_TIMEOUT_EN ( LL_ACK_TIMEOUT_EN ),
2011
  .LL_ACK_TIMEOUT_FUNC ( LL_ACK_TIMEOUT_FUNC ),
2012
  .LL_REPLAY_TIMEOUT ( LL_REPLAY_TIMEOUT ),
2013
  .LL_REPLAY_TIMEOUT_EN ( LL_REPLAY_TIMEOUT_EN ),
2014
  .LL_REPLAY_TIMEOUT_FUNC ( LL_REPLAY_TIMEOUT_FUNC ),
2015
  .LTSSM_MAX_LINK_WIDTH ( LTSSM_MAX_LINK_WIDTH ),
2016
  .MSI_BASE_PTR ( MSI_BASE_PTR ),
2017
  .MSI_CAP_ID ( MSI_CAP_ID ),
2018
  .MSI_CAP_MULTIMSGCAP ( MSI_CAP_MULTIMSGCAP ),
2019
  .MSI_CAP_MULTIMSG_EXTENSION ( MSI_CAP_MULTIMSG_EXTENSION ),
2020
  .MSI_CAP_NEXTPTR ( MSI_CAP_NEXTPTR ),
2021
  .MSI_CAP_ON ( MSI_CAP_ON ),
2022
  .MSI_CAP_PER_VECTOR_MASKING_CAPABLE ( MSI_CAP_PER_VECTOR_MASKING_CAPABLE ),
2023
  .MSI_CAP_64_BIT_ADDR_CAPABLE ( MSI_CAP_64_BIT_ADDR_CAPABLE ),
2024
  .MSIX_BASE_PTR ( MSIX_BASE_PTR ),
2025
  .MSIX_CAP_ID ( MSIX_CAP_ID ),
2026
  .MSIX_CAP_NEXTPTR ( MSIX_CAP_NEXTPTR ),
2027
  .MSIX_CAP_ON ( MSIX_CAP_ON ),
2028
  .MSIX_CAP_PBA_BIR ( MSIX_CAP_PBA_BIR ),
2029
  .MSIX_CAP_PBA_OFFSET ( MSIX_CAP_PBA_OFFSET ),
2030
  .MSIX_CAP_TABLE_BIR ( MSIX_CAP_TABLE_BIR ),
2031
  .MSIX_CAP_TABLE_OFFSET ( MSIX_CAP_TABLE_OFFSET ),
2032
  .MSIX_CAP_TABLE_SIZE ( MSIX_CAP_TABLE_SIZE ),
2033
  .N_FTS_COMCLK_GEN1 ( N_FTS_COMCLK_GEN1 ),
2034
  .N_FTS_COMCLK_GEN2 ( N_FTS_COMCLK_GEN2 ),
2035
  .N_FTS_GEN1 ( N_FTS_GEN1 ),
2036
  .N_FTS_GEN2 ( N_FTS_GEN2 ),
2037
  .PCIE_BASE_PTR ( PCIE_BASE_PTR ),
2038
  .PCIE_CAP_CAPABILITY_ID ( PCIE_CAP_CAPABILITY_ID ),
2039
  .PCIE_CAP_CAPABILITY_VERSION ( PCIE_CAP_CAPABILITY_VERSION ),
2040
  .PCIE_CAP_DEVICE_PORT_TYPE ( PCIE_CAP_DEVICE_PORT_TYPE ),
2041
  .PCIE_CAP_INT_MSG_NUM ( PCIE_CAP_INT_MSG_NUM ),
2042
  .PCIE_CAP_NEXTPTR ( PCIE_CAP_NEXTPTR ),
2043
  .PCIE_CAP_ON ( PCIE_CAP_ON ),
2044
  .PCIE_CAP_RSVD_15_14 ( PCIE_CAP_RSVD_15_14 ),
2045
  .PCIE_CAP_SLOT_IMPLEMENTED ( PCIE_CAP_SLOT_IMPLEMENTED ),
2046
  .PCIE_REVISION ( PCIE_REVISION ),
2047
  .PGL0_LANE ( PGL0_LANE ),
2048
  .PGL1_LANE ( PGL1_LANE ),
2049
  .PGL2_LANE ( PGL2_LANE ),
2050
  .PGL3_LANE ( PGL3_LANE ),
2051
  .PGL4_LANE ( PGL4_LANE ),
2052
  .PGL5_LANE ( PGL5_LANE ),
2053
  .PGL6_LANE ( PGL6_LANE ),
2054
  .PGL7_LANE ( PGL7_LANE ),
2055
  .PL_AUTO_CONFIG ( PL_AUTO_CONFIG ),
2056
  .PL_FAST_TRAIN ( PL_FAST_TRAIN ),
2057
  .PM_BASE_PTR ( PM_BASE_PTR ),
2058
  .PM_CAP_AUXCURRENT ( PM_CAP_AUXCURRENT ),
2059
  .PM_CAP_DSI ( PM_CAP_DSI ),
2060
  .PM_CAP_D1SUPPORT ( PM_CAP_D1SUPPORT ),
2061
  .PM_CAP_D2SUPPORT ( PM_CAP_D2SUPPORT ),
2062
  .PM_CAP_ID ( PM_CAP_ID ),
2063
  .PM_CAP_NEXTPTR ( PM_CAP_NEXTPTR ),
2064
  .PM_CAP_ON ( PM_CAP_ON ),
2065
  .PM_CAP_PME_CLOCK ( PM_CAP_PME_CLOCK ),
2066
  .PM_CAP_PMESUPPORT ( PM_CAP_PMESUPPORT ),
2067
  .PM_CAP_RSVD_04 ( PM_CAP_RSVD_04 ),
2068
  .PM_CAP_VERSION ( PM_CAP_VERSION ),
2069
  .PM_CSR_BPCCEN ( PM_CSR_BPCCEN ),
2070
  .PM_CSR_B2B3 ( PM_CSR_B2B3 ),
2071
  .PM_CSR_NOSOFTRST ( PM_CSR_NOSOFTRST ),
2072
  .PM_DATA_SCALE0 ( PM_DATA_SCALE0 ),
2073
  .PM_DATA_SCALE1 ( PM_DATA_SCALE1 ),
2074
  .PM_DATA_SCALE2 ( PM_DATA_SCALE2 ),
2075
  .PM_DATA_SCALE3 ( PM_DATA_SCALE3 ),
2076
  .PM_DATA_SCALE4 ( PM_DATA_SCALE4 ),
2077
  .PM_DATA_SCALE5 ( PM_DATA_SCALE5 ),
2078
  .PM_DATA_SCALE6 ( PM_DATA_SCALE6 ),
2079
  .PM_DATA_SCALE7 ( PM_DATA_SCALE7 ),
2080
  .PM_DATA0 ( PM_DATA0 ),
2081
  .PM_DATA1 ( PM_DATA1 ),
2082
  .PM_DATA2 ( PM_DATA2 ),
2083
  .PM_DATA3 ( PM_DATA3 ),
2084
  .PM_DATA4 ( PM_DATA4 ),
2085
  .PM_DATA5 ( PM_DATA5 ),
2086
  .PM_DATA6 ( PM_DATA6 ),
2087
  .PM_DATA7 ( PM_DATA7 ),
2088
  .RECRC_CHK ( RECRC_CHK ),
2089
  .RECRC_CHK_TRIM ( RECRC_CHK_TRIM ),
2090
  .REVISION_ID ( REVISION_ID ),
2091
  .ROOT_CAP_CRS_SW_VISIBILITY ( ROOT_CAP_CRS_SW_VISIBILITY ),
2092
  .SELECT_DLL_IF ( SELECT_DLL_IF ),
2093
  .SLOT_CAP_ATT_BUTTON_PRESENT ( SLOT_CAP_ATT_BUTTON_PRESENT ),
2094
  .SLOT_CAP_ATT_INDICATOR_PRESENT ( SLOT_CAP_ATT_INDICATOR_PRESENT ),
2095
  .SLOT_CAP_ELEC_INTERLOCK_PRESENT ( SLOT_CAP_ELEC_INTERLOCK_PRESENT ),
2096
  .SLOT_CAP_HOTPLUG_CAPABLE ( SLOT_CAP_HOTPLUG_CAPABLE ),
2097
  .SLOT_CAP_HOTPLUG_SURPRISE ( SLOT_CAP_HOTPLUG_SURPRISE ),
2098
  .SLOT_CAP_MRL_SENSOR_PRESENT ( SLOT_CAP_MRL_SENSOR_PRESENT ),
2099
  .SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ( SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ),
2100
  .SLOT_CAP_PHYSICAL_SLOT_NUM ( SLOT_CAP_PHYSICAL_SLOT_NUM ),
2101
  .SLOT_CAP_POWER_CONTROLLER_PRESENT ( SLOT_CAP_POWER_CONTROLLER_PRESENT ),
2102
  .SLOT_CAP_POWER_INDICATOR_PRESENT ( SLOT_CAP_POWER_INDICATOR_PRESENT ),
2103
  .SLOT_CAP_SLOT_POWER_LIMIT_SCALE ( SLOT_CAP_SLOT_POWER_LIMIT_SCALE ),
2104
  .SLOT_CAP_SLOT_POWER_LIMIT_VALUE ( SLOT_CAP_SLOT_POWER_LIMIT_VALUE ),
2105
  .SPARE_BIT0 ( SPARE_BIT0 ),
2106
  .SPARE_BIT1 ( SPARE_BIT1 ),
2107
  .SPARE_BIT2 ( SPARE_BIT2 ),
2108
  .SPARE_BIT3 ( SPARE_BIT3 ),
2109
  .SPARE_BIT4 ( SPARE_BIT4 ),
2110
  .SPARE_BIT5 ( SPARE_BIT5 ),
2111
  .SPARE_BIT6 ( SPARE_BIT6 ),
2112
  .SPARE_BIT7 ( SPARE_BIT7 ),
2113
  .SPARE_BIT8 ( SPARE_BIT8 ),
2114
  .SPARE_BYTE0 ( SPARE_BYTE0 ),
2115
  .SPARE_BYTE1 ( SPARE_BYTE1 ),
2116
  .SPARE_BYTE2 ( SPARE_BYTE2 ),
2117
  .SPARE_BYTE3 ( SPARE_BYTE3 ),
2118
  .SPARE_WORD0 ( SPARE_WORD0 ),
2119
  .SPARE_WORD1 ( SPARE_WORD1 ),
2120
  .SPARE_WORD2 ( SPARE_WORD2 ),
2121
  .SPARE_WORD3 ( SPARE_WORD3 ),
2122
  .SUBSYSTEM_ID ( SUBSYSTEM_ID ),
2123
  .SUBSYSTEM_VENDOR_ID ( SUBSYSTEM_VENDOR_ID ),
2124
  .TL_RBYPASS ( TL_RBYPASS ),
2125
  .TL_RX_RAM_RADDR_LATENCY ( TL_RX_RAM_RADDR_LATENCY ),
2126
  .TL_RX_RAM_RDATA_LATENCY ( TL_RX_RAM_RDATA_LATENCY ),
2127
  .TL_RX_RAM_WRITE_LATENCY ( TL_RX_RAM_WRITE_LATENCY ),
2128
  .TL_TFC_DISABLE ( TL_TFC_DISABLE ),
2129
  .TL_TX_CHECKS_DISABLE ( TL_TX_CHECKS_DISABLE ),
2130
  .TL_TX_RAM_RADDR_LATENCY ( TL_TX_RAM_RADDR_LATENCY ),
2131
  .TL_TX_RAM_RDATA_LATENCY ( TL_TX_RAM_RDATA_LATENCY ),
2132
  .TL_TX_RAM_WRITE_LATENCY ( TL_TX_RAM_WRITE_LATENCY ),
2133
  .UPCONFIG_CAPABLE ( UPCONFIG_CAPABLE ),
2134
  .UPSTREAM_FACING ( UPSTREAM_FACING ),
2135
  .EXIT_LOOPBACK_ON_EI ( EXIT_LOOPBACK_ON_EI ),
2136
  .UR_INV_REQ ( UR_INV_REQ ),
2137
  .USER_CLK_FREQ ( USER_CLK_FREQ ),
2138
  .VC_BASE_PTR ( VC_BASE_PTR ),
2139
  .VC_CAP_ID ( VC_CAP_ID ),
2140
  .VC_CAP_NEXTPTR ( VC_CAP_NEXTPTR ),
2141
  .VC_CAP_ON ( VC_CAP_ON ),
2142
  .VC_CAP_REJECT_SNOOP_TRANSACTIONS ( VC_CAP_REJECT_SNOOP_TRANSACTIONS ),
2143
  .VC_CAP_VERSION ( VC_CAP_VERSION ),
2144
  .VC0_CPL_INFINITE ( VC0_CPL_INFINITE ),
2145
  .VC0_RX_RAM_LIMIT ( VC0_RX_RAM_LIMIT ),
2146
  .VC0_TOTAL_CREDITS_CD ( VC0_TOTAL_CREDITS_CD ),
2147
  .VC0_TOTAL_CREDITS_CH ( VC0_TOTAL_CREDITS_CH ),
2148
  .VC0_TOTAL_CREDITS_NPH ( VC0_TOTAL_CREDITS_NPH ),
2149
  .VC0_TOTAL_CREDITS_PD ( VC0_TOTAL_CREDITS_PD ),
2150
  .VC0_TOTAL_CREDITS_PH ( VC0_TOTAL_CREDITS_PH ),
2151
  .VC0_TX_LASTPACKET ( VC0_TX_LASTPACKET ),
2152
  .VENDOR_ID ( VENDOR_ID ),
2153
  .VSEC_BASE_PTR ( VSEC_BASE_PTR ),
2154
  .VSEC_CAP_HDR_ID ( VSEC_CAP_HDR_ID ),
2155
  .VSEC_CAP_HDR_LENGTH ( VSEC_CAP_HDR_LENGTH ),
2156
  .VSEC_CAP_HDR_REVISION ( VSEC_CAP_HDR_REVISION ),
2157
  .VSEC_CAP_ID ( VSEC_CAP_ID ),
2158
  .VSEC_CAP_IS_LINK_VISIBLE ( VSEC_CAP_IS_LINK_VISIBLE ),
2159
  .VSEC_CAP_NEXTPTR ( VSEC_CAP_NEXTPTR ),
2160
  .VSEC_CAP_ON ( VSEC_CAP_ON ),
2161
  .VSEC_CAP_VERSION ( VSEC_CAP_VERSION )
2162
 
2163
)
2164
pcie_block_i (
2165
 
2166
  .CFGAERECRCCHECKEN ( CFGAERECRCCHECKEN ),
2167
  .CFGAERECRCGENEN ( CFGAERECRCGENEN ),
2168
  .CFGCOMMANDBUSMASTERENABLE ( CFGCOMMANDBUSMASTERENABLE ),
2169
  .CFGCOMMANDINTERRUPTDISABLE ( CFGCOMMANDINTERRUPTDISABLE ),
2170
  .CFGCOMMANDIOENABLE ( CFGCOMMANDIOENABLE ),
2171
  .CFGCOMMANDMEMENABLE ( CFGCOMMANDMEMENABLE ),
2172
  .CFGCOMMANDSERREN ( CFGCOMMANDSERREN ),
2173
  .CFGDEVCONTROLAUXPOWEREN ( CFGDEVCONTROLAUXPOWEREN ),
2174
  .CFGDEVCONTROLCORRERRREPORTINGEN ( CFGDEVCONTROLCORRERRREPORTINGEN ),
2175
  .CFGDEVCONTROLENABLERO ( CFGDEVCONTROLENABLERO ),
2176
  .CFGDEVCONTROLEXTTAGEN ( CFGDEVCONTROLEXTTAGEN ),
2177
  .CFGDEVCONTROLFATALERRREPORTINGEN ( CFGDEVCONTROLFATALERRREPORTINGEN ),
2178
  .CFGDEVCONTROLMAXPAYLOAD ( CFGDEVCONTROLMAXPAYLOAD ),
2179
  .CFGDEVCONTROLMAXREADREQ ( CFGDEVCONTROLMAXREADREQ ),
2180
  .CFGDEVCONTROLNONFATALREPORTINGEN ( CFGDEVCONTROLNONFATALREPORTINGEN ),
2181
  .CFGDEVCONTROLNOSNOOPEN ( CFGDEVCONTROLNOSNOOPEN ),
2182
  .CFGDEVCONTROLPHANTOMEN ( CFGDEVCONTROLPHANTOMEN ),
2183
  .CFGDEVCONTROLURERRREPORTINGEN ( CFGDEVCONTROLURERRREPORTINGEN ),
2184
  .CFGDEVCONTROL2CPLTIMEOUTDIS ( CFGDEVCONTROL2CPLTIMEOUTDIS ),
2185
  .CFGDEVCONTROL2CPLTIMEOUTVAL ( CFGDEVCONTROL2CPLTIMEOUTVAL ),
2186
  .CFGDEVSTATUSCORRERRDETECTED ( CFGDEVSTATUSCORRERRDETECTED ),
2187
  .CFGDEVSTATUSFATALERRDETECTED ( CFGDEVSTATUSFATALERRDETECTED ),
2188
  .CFGDEVSTATUSNONFATALERRDETECTED ( CFGDEVSTATUSNONFATALERRDETECTED ),
2189
  .CFGDEVSTATUSURDETECTED ( CFGDEVSTATUSURDETECTED ),
2190
  .CFGDO ( CFGDO ),
2191
  .CFGERRAERHEADERLOGSETN ( CFGERRAERHEADERLOGSETN ),
2192
  .CFGERRCPLRDYN ( CFGERRCPLRDYN ),
2193
  .CFGINTERRUPTDO ( CFGINTERRUPTDO ),
2194
  .CFGINTERRUPTMMENABLE ( CFGINTERRUPTMMENABLE ),
2195
  .CFGINTERRUPTMSIENABLE ( CFGINTERRUPTMSIENABLE ),
2196
  .CFGINTERRUPTMSIXENABLE ( CFGINTERRUPTMSIXENABLE ),
2197
  .CFGINTERRUPTMSIXFM ( CFGINTERRUPTMSIXFM ),
2198
  .CFGINTERRUPTRDYN ( CFGINTERRUPTRDYN ),
2199
  .CFGLINKCONTROLRCB ( CFGLINKCONTROLRCB ),
2200
  .CFGLINKCONTROLASPMCONTROL ( CFGLINKCONTROLASPMCONTROL ),
2201
  .CFGLINKCONTROLAUTOBANDWIDTHINTEN ( CFGLINKCONTROLAUTOBANDWIDTHINTEN ),
2202
  .CFGLINKCONTROLBANDWIDTHINTEN ( CFGLINKCONTROLBANDWIDTHINTEN ),
2203
  .CFGLINKCONTROLCLOCKPMEN ( CFGLINKCONTROLCLOCKPMEN ),
2204
  .CFGLINKCONTROLCOMMONCLOCK ( CFGLINKCONTROLCOMMONCLOCK ),
2205
  .CFGLINKCONTROLEXTENDEDSYNC ( CFGLINKCONTROLEXTENDEDSYNC ),
2206
  .CFGLINKCONTROLHWAUTOWIDTHDIS ( CFGLINKCONTROLHWAUTOWIDTHDIS ),
2207
  .CFGLINKCONTROLLINKDISABLE ( CFGLINKCONTROLLINKDISABLE ),
2208
  .CFGLINKCONTROLRETRAINLINK ( CFGLINKCONTROLRETRAINLINK ),
2209
  .CFGLINKSTATUSAUTOBANDWIDTHSTATUS ( CFGLINKSTATUSAUTOBANDWIDTHSTATUS ),
2210
  .CFGLINKSTATUSBANDWITHSTATUS ( CFGLINKSTATUSBANDWITHSTATUS ),
2211
  .CFGLINKSTATUSCURRENTSPEED ( CFGLINKSTATUSCURRENTSPEED ),
2212
  .CFGLINKSTATUSDLLACTIVE ( CFGLINKSTATUSDLLACTIVE ),
2213
  .CFGLINKSTATUSLINKTRAINING ( CFGLINKSTATUSLINKTRAINING ),
2214
  .CFGLINKSTATUSNEGOTIATEDWIDTH ( CFGLINKSTATUSNEGOTIATEDWIDTH ),
2215
  .CFGMSGDATA ( CFGMSGDATA ),
2216
  .CFGMSGRECEIVED ( CFGMSGRECEIVED ),
2217
  .CFGMSGRECEIVEDASSERTINTA ( CFGMSGRECEIVEDASSERTINTA ),
2218
  .CFGMSGRECEIVEDASSERTINTB ( CFGMSGRECEIVEDASSERTINTB ),
2219
  .CFGMSGRECEIVEDASSERTINTC ( CFGMSGRECEIVEDASSERTINTC ),
2220
  .CFGMSGRECEIVEDASSERTINTD ( CFGMSGRECEIVEDASSERTINTD ),
2221
  .CFGMSGRECEIVEDDEASSERTINTA ( CFGMSGRECEIVEDDEASSERTINTA ),
2222
  .CFGMSGRECEIVEDDEASSERTINTB ( CFGMSGRECEIVEDDEASSERTINTB ),
2223
  .CFGMSGRECEIVEDDEASSERTINTC ( CFGMSGRECEIVEDDEASSERTINTC ),
2224
  .CFGMSGRECEIVEDDEASSERTINTD ( CFGMSGRECEIVEDDEASSERTINTD ),
2225
  .CFGMSGRECEIVEDERRCOR ( CFGMSGRECEIVEDERRCOR ),
2226
  .CFGMSGRECEIVEDERRFATAL ( CFGMSGRECEIVEDERRFATAL ),
2227
  .CFGMSGRECEIVEDERRNONFATAL ( CFGMSGRECEIVEDERRNONFATAL ),
2228
  .CFGMSGRECEIVEDPMASNAK ( CFGMSGRECEIVEDPMASNAK ),
2229
  .CFGMSGRECEIVEDPMETO ( CFGMSGRECEIVEDPMETO ),
2230
  .CFGMSGRECEIVEDPMETOACK ( CFGMSGRECEIVEDPMETOACK ),
2231
  .CFGMSGRECEIVEDPMPME ( CFGMSGRECEIVEDPMPME ),
2232
  .CFGMSGRECEIVEDSETSLOTPOWERLIMIT ( CFGMSGRECEIVEDSETSLOTPOWERLIMIT ),
2233
  .CFGMSGRECEIVEDUNLOCK ( CFGMSGRECEIVEDUNLOCK ),
2234
  .CFGPCIELINKSTATE ( CFGPCIELINKSTATE ),
2235
  .CFGPMRCVASREQL1N ( CFGPMRCVASREQL1N ),
2236
  .CFGPMRCVENTERL1N ( CFGPMRCVENTERL1N ),
2237
  .CFGPMRCVENTERL23N ( CFGPMRCVENTERL23N ),
2238
  .CFGPMRCVREQACKN ( CFGPMRCVREQACKN ),
2239
  .CFGPMCSRPMEEN ( CFGPMCSRPMEEN ),
2240
  .CFGPMCSRPMESTATUS ( CFGPMCSRPMESTATUS ),
2241
  .CFGPMCSRPOWERSTATE ( CFGPMCSRPOWERSTATE ),
2242
  .CFGRDWRDONEN ( CFGRDWRDONEN ),
2243
  .CFGSLOTCONTROLELECTROMECHILCTLPULSE ( CFGSLOTCONTROLELECTROMECHILCTLPULSE ),
2244
  .CFGTRANSACTION ( CFGTRANSACTION ),
2245
  .CFGTRANSACTIONADDR ( CFGTRANSACTIONADDR ),
2246
  .CFGTRANSACTIONTYPE ( CFGTRANSACTIONTYPE ),
2247
  .CFGVCTCVCMAP ( CFGVCTCVCMAP ),
2248
  .DBGSCLRA ( DBGSCLRA ),
2249
  .DBGSCLRB ( DBGSCLRB ),
2250
  .DBGSCLRC ( DBGSCLRC ),
2251
  .DBGSCLRD ( DBGSCLRD ),
2252
  .DBGSCLRE ( DBGSCLRE ),
2253
  .DBGSCLRF ( DBGSCLRF ),
2254
  .DBGSCLRG ( DBGSCLRG ),
2255
  .DBGSCLRH ( DBGSCLRH ),
2256
  .DBGSCLRI ( DBGSCLRI ),
2257
  .DBGSCLRJ ( DBGSCLRJ ),
2258
  .DBGSCLRK ( DBGSCLRK ),
2259
  .DBGVECA ( DBGVECA ),
2260
  .DBGVECB ( DBGVECB ),
2261
  .DBGVECC ( DBGVECC ),
2262
  .DRPDO ( PCIEDRPDO ),
2263
  .DRPDRDY ( PCIEDRPDRDY ),
2264
  .LL2BADDLLPERRN ( LL2BADDLLPERRN ),
2265
  .LL2BADTLPERRN ( LL2BADTLPERRN ),
2266
  .LL2PROTOCOLERRN ( LL2PROTOCOLERRN ),
2267
  .LL2REPLAYROERRN ( LL2REPLAYROERRN ),
2268
  .LL2REPLAYTOERRN ( LL2REPLAYTOERRN ),
2269
  .LL2SUSPENDOKN ( LL2SUSPENDOKN ),
2270
  .LL2TFCINIT1SEQN ( LL2TFCINIT1SEQN ),
2271
  .LL2TFCINIT2SEQN ( LL2TFCINIT2SEQN ),
2272
  .MIMRXRADDR ( MIMRXRADDR ),
2273
  .MIMRXRCE ( MIMRXRCE ),
2274
  .MIMRXREN ( MIMRXREN ),
2275
  .MIMRXWADDR ( MIMRXWADDR ),
2276
  .MIMRXWDATA ( MIMRXWDATA ),
2277
  .MIMRXWEN ( MIMRXWEN ),
2278
  .MIMTXRADDR ( MIMTXRADDR ),
2279
  .MIMTXRCE ( MIMTXRCE ),
2280
  .MIMTXREN ( MIMTXREN ),
2281
  .MIMTXWADDR ( MIMTXWADDR ),
2282
  .MIMTXWDATA ( MIMTXWDATA ),
2283
  .MIMTXWEN ( MIMTXWEN ),
2284
  .PIPERX0POLARITY ( PIPERX0POLARITY ),
2285
  .PIPERX1POLARITY ( PIPERX1POLARITY ),
2286
  .PIPERX2POLARITY ( PIPERX2POLARITY ),
2287
  .PIPERX3POLARITY ( PIPERX3POLARITY ),
2288
  .PIPERX4POLARITY ( PIPERX4POLARITY ),
2289
  .PIPERX5POLARITY ( PIPERX5POLARITY ),
2290
  .PIPERX6POLARITY ( PIPERX6POLARITY ),
2291
  .PIPERX7POLARITY ( PIPERX7POLARITY ),
2292
  .PIPETXDEEMPH ( PIPETXDEEMPH ),
2293
  .PIPETXMARGIN ( PIPETXMARGIN ),
2294
  .PIPETXRATE ( PIPETXRATE ),
2295
  .PIPETXRCVRDET ( PIPETXRCVRDET ),
2296
  .PIPETXRESET ( PIPETXRESET ),
2297
  .PIPETX0CHARISK ( PIPETX0CHARISK ),
2298
  .PIPETX0COMPLIANCE ( PIPETX0COMPLIANCE ),
2299
  .PIPETX0DATA ( PIPETX0DATA ),
2300
  .PIPETX0ELECIDLE ( PIPETX0ELECIDLE ),
2301
  .PIPETX0POWERDOWN ( PIPETX0POWERDOWN ),
2302
  .PIPETX1CHARISK ( PIPETX1CHARISK ),
2303
  .PIPETX1COMPLIANCE ( PIPETX1COMPLIANCE ),
2304
  .PIPETX1DATA ( PIPETX1DATA ),
2305
  .PIPETX1ELECIDLE ( PIPETX1ELECIDLE ),
2306
  .PIPETX1POWERDOWN ( PIPETX1POWERDOWN ),
2307
  .PIPETX2CHARISK ( PIPETX2CHARISK ),
2308
  .PIPETX2COMPLIANCE ( PIPETX2COMPLIANCE ),
2309
  .PIPETX2DATA ( PIPETX2DATA ),
2310
  .PIPETX2ELECIDLE ( PIPETX2ELECIDLE ),
2311
  .PIPETX2POWERDOWN ( PIPETX2POWERDOWN ),
2312
  .PIPETX3CHARISK ( PIPETX3CHARISK ),
2313
  .PIPETX3COMPLIANCE ( PIPETX3COMPLIANCE ),
2314
  .PIPETX3DATA ( PIPETX3DATA ),
2315
  .PIPETX3ELECIDLE ( PIPETX3ELECIDLE ),
2316
  .PIPETX3POWERDOWN ( PIPETX3POWERDOWN ),
2317
  .PIPETX4CHARISK ( PIPETX4CHARISK ),
2318
  .PIPETX4COMPLIANCE ( PIPETX4COMPLIANCE ),
2319
  .PIPETX4DATA ( PIPETX4DATA ),
2320
  .PIPETX4ELECIDLE ( PIPETX4ELECIDLE ),
2321
  .PIPETX4POWERDOWN ( PIPETX4POWERDOWN ),
2322
  .PIPETX5CHARISK ( PIPETX5CHARISK ),
2323
  .PIPETX5COMPLIANCE ( PIPETX5COMPLIANCE ),
2324
  .PIPETX5DATA ( PIPETX5DATA ),
2325
  .PIPETX5ELECIDLE ( PIPETX5ELECIDLE ),
2326
  .PIPETX5POWERDOWN ( PIPETX5POWERDOWN ),
2327
  .PIPETX6CHARISK ( PIPETX6CHARISK ),
2328
  .PIPETX6COMPLIANCE ( PIPETX6COMPLIANCE ),
2329
  .PIPETX6DATA ( PIPETX6DATA ),
2330
  .PIPETX6ELECIDLE ( PIPETX6ELECIDLE ),
2331
  .PIPETX6POWERDOWN ( PIPETX6POWERDOWN ),
2332
  .PIPETX7CHARISK ( PIPETX7CHARISK ),
2333
  .PIPETX7COMPLIANCE ( PIPETX7COMPLIANCE ),
2334
  .PIPETX7DATA ( PIPETX7DATA ),
2335
  .PIPETX7ELECIDLE ( PIPETX7ELECIDLE ),
2336
  .PIPETX7POWERDOWN ( PIPETX7POWERDOWN ),
2337
  .PLDBGVEC ( PLDBGVEC ),
2338
  .PLINITIALLINKWIDTH ( PLINITIALLINKWIDTH ),
2339
  .PLLANEREVERSALMODE ( PLLANEREVERSALMODE ),
2340
  .PLLINKGEN2CAP ( PLLINKGEN2CAP ),
2341
  .PLLINKPARTNERGEN2SUPPORTED ( PLLINKPARTNERGEN2SUPPORTED ),
2342
  .PLLINKUPCFGCAP ( PLLINKUPCFGCAP ),
2343
  .PLLTSSMSTATE ( PLLTSSMSTATE ),
2344
  .PLPHYLNKUPN ( PLPHYLNKUPN ),
2345
  .PLRECEIVEDHOTRST ( PLRECEIVEDHOTRST ),
2346
  .PLRXPMSTATE ( PLRXPMSTATE ),
2347
  .PLSELLNKRATE ( PLSELLNKRATE ),
2348
  .PLSELLNKWIDTH ( PLSELLNKWIDTH ),
2349
  .PLTXPMSTATE ( PLTXPMSTATE ),
2350
  .PL2LINKUPN ( PL2LINKUPN ),
2351
  .PL2RECEIVERERRN ( PL2RECEIVERERRN ),
2352
  .PL2RECOVERYN ( PL2RECOVERYN ),
2353
  .PL2RXELECIDLE ( PL2RXELECIDLE ),
2354
  .PL2SUSPENDOK ( PL2SUSPENDOK ),
2355
  .RECEIVEDFUNCLVLRSTN ( RECEIVEDFUNCLVLRSTN ),
2356
  .LNKCLKEN ( LNKCLKEN ),
2357
  .TL2ASPMSUSPENDCREDITCHECKOKN ( TL2ASPMSUSPENDCREDITCHECKOKN ),
2358
  .TL2ASPMSUSPENDREQN ( TL2ASPMSUSPENDREQN ),
2359
  .TL2PPMSUSPENDOKN ( TL2PPMSUSPENDOKN ),
2360
  .TRNFCCPLD ( TRNFCCPLD ),
2361
  .TRNFCCPLH ( TRNFCCPLH ),
2362
  .TRNFCNPD ( TRNFCNPD ),
2363
  .TRNFCNPH ( TRNFCNPH ),
2364
  .TRNFCPD ( TRNFCPD ),
2365
  .TRNFCPH ( TRNFCPH ),
2366
  .TRNLNKUPN ( TRNLNKUPN ),
2367
  .TRNRBARHITN ( TRNRBARHITN ),
2368
  .TRNRD ( TRNRD ),
2369
  .TRNRDLLPDATA ( ),
2370
  .TRNRDLLPSRCRDYN ( TRNRDLLPSRCRDYN ),
2371
  .TRNRECRCERRN ( TRNRECRCERRN ),
2372
  .TRNREOFN ( TRNREOFN ),
2373
  .TRNRERRFWDN ( TRNRERRFWDN ),
2374
  .TRNRREMN ( TRNRREMN ),
2375
  .TRNRSOFN ( TRNRSOFN ),
2376
  .TRNRSRCDSCN ( TRNRSRCDSCN ),
2377
  .TRNRSRCRDYN ( TRNRSRCRDYN ),
2378
  .TRNTBUFAV ( TRNTBUFAV ),
2379
  .TRNTCFGREQN ( TRNTCFGREQN ),
2380
  .TRNTDLLPDSTRDYN ( TRNTDLLPDSTRDYN ),
2381
  .TRNTDSTRDYN ( TRNTDSTRDYN ),
2382
  .TRNTERRDROPN ( TRNTERRDROPN ),
2383
  .USERRSTN ( USERRSTN ),
2384
  .CFGBYTEENN ( CFGBYTEENN ),
2385
  .CFGDI ( CFGDI ),
2386
  .CFGDSBUSNUMBER ( CFGDSBUSNUMBER ),
2387
  .CFGDSDEVICENUMBER ( CFGDSDEVICENUMBER ),
2388
  .CFGDSFUNCTIONNUMBER ( CFGDSFUNCTIONNUMBER ),
2389
  .CFGDSN ( CFGDSN ),
2390
  .CFGDWADDR ( CFGDWADDR ),
2391
  .CFGERRACSN ( CFGERRACSN ),
2392
  .CFGERRAERHEADERLOG ( CFGERRAERHEADERLOG ),
2393
  .CFGERRCORN ( CFGERRCORN ),
2394
  .CFGERRCPLABORTN ( CFGERRCPLABORTN ),
2395
  .CFGERRCPLTIMEOUTN ( CFGERRCPLTIMEOUTN ),
2396
  .CFGERRCPLUNEXPECTN ( CFGERRCPLUNEXPECTN ),
2397
  .CFGERRECRCN ( CFGERRECRCN ),
2398
  .CFGERRLOCKEDN ( CFGERRLOCKEDN ),
2399
  .CFGERRPOSTEDN ( CFGERRPOSTEDN ),
2400
  .CFGERRTLPCPLHEADER ( CFGERRTLPCPLHEADER ),
2401
  .CFGERRURN ( CFGERRURN ),
2402
  .CFGINTERRUPTASSERTN ( CFGINTERRUPTASSERTN ),
2403
  .CFGINTERRUPTDI ( CFGINTERRUPTDI ),
2404
  .CFGINTERRUPTN ( CFGINTERRUPTN ),
2405
  .CFGPMDIRECTASPML1N ( CFGPMDIRECTASPML1N ),
2406
  .CFGPMSENDPMACKN ( CFGPMSENDPMACKN ),
2407
  .CFGPMSENDPMETON ( CFGPMSENDPMETON ),
2408
  .CFGPMSENDPMNAKN ( CFGPMSENDPMNAKN ),
2409
  .CFGPMTURNOFFOKN ( CFGPMTURNOFFOKN ),
2410
  .CFGPMWAKEN ( CFGPMWAKEN ),
2411
  .CFGPORTNUMBER ( CFGPORTNUMBER ),
2412
  .CFGRDENN ( CFGRDENN ),
2413
  .CFGTRNPENDINGN ( CFGTRNPENDINGN ),
2414
  .CFGWRENN ( CFGWRENN ),
2415
  .CFGWRREADONLYN ( CFGWRREADONLYN ),
2416
  .CFGWRRW1CASRWN ( CFGWRRW1CASRWN ),
2417
  .CMRSTN ( CMRSTN ),
2418
  .CMSTICKYRSTN ( CMSTICKYRSTN ),
2419
  .DBGMODE ( DBGMODE ),
2420
  .DBGSUBMODE ( DBGSUBMODE ),
2421
  .DLRSTN ( DLRSTN ),
2422
  .DRPCLK ( PCIEDRPCLK ),
2423
  .DRPDADDR ( PCIEDRPDADDR ),
2424
  .DRPDEN ( PCIEDRPDEN ),
2425
  .DRPDI ( PCIEDRPDI ),
2426
  .DRPDWE ( PCIEDRPDWE ),
2427
  .FUNCLVLRSTN ( FUNCLVLRSTN ),
2428
  .LL2SENDASREQL1N ( LL2SENDASREQL1N ),
2429
  .LL2SENDENTERL1N ( LL2SENDENTERL1N ),
2430
  .LL2SENDENTERL23N ( LL2SENDENTERL23N ),
2431
  .LL2SUSPENDNOWN ( LL2SUSPENDNOWN ),
2432
  .LL2TLPRCVN ( LL2TLPRCVN ),
2433
  .MIMRXRDATA ( MIMRXRDATA ),
2434
  .MIMTXRDATA ( MIMTXRDATA ),
2435
  .PIPECLK ( PIPECLK ),
2436
  .PIPERX0CHANISALIGNED ( PIPERX0CHANISALIGNED ),
2437
  .PIPERX0CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX0CHARISK ),
2438
  .PIPERX0DATA ( PIPERX0DATA ),
2439
  .PIPERX0ELECIDLE ( PIPERX0ELECIDLE ),
2440
  .PIPERX0PHYSTATUS ( PIPERX0PHYSTATUS ),
2441
  .PIPERX0STATUS ( PIPERX0STATUS ),
2442
  .PIPERX0VALID ( PIPERX0VALID ),
2443
  .PIPERX1CHANISALIGNED ( PIPERX1CHANISALIGNED ),
2444
  .PIPERX1CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX1CHARISK ),
2445
  .PIPERX1DATA ( PIPERX1DATA ),
2446
  .PIPERX1ELECIDLE ( PIPERX1ELECIDLE ),
2447
  .PIPERX1PHYSTATUS ( PIPERX1PHYSTATUS ),
2448
  .PIPERX1STATUS ( PIPERX1STATUS ),
2449
  .PIPERX1VALID ( PIPERX1VALID ),
2450
  .PIPERX2CHANISALIGNED ( PIPERX2CHANISALIGNED ),
2451
  .PIPERX2CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX2CHARISK ),
2452
  .PIPERX2DATA ( PIPERX2DATA ),
2453
  .PIPERX2ELECIDLE ( PIPERX2ELECIDLE ),
2454
  .PIPERX2PHYSTATUS ( PIPERX2PHYSTATUS ),
2455
  .PIPERX2STATUS ( PIPERX2STATUS ),
2456
  .PIPERX2VALID ( PIPERX2VALID ),
2457
  .PIPERX3CHANISALIGNED ( PIPERX3CHANISALIGNED ),
2458
  .PIPERX3CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX3CHARISK ),
2459
  .PIPERX3DATA ( PIPERX3DATA ),
2460
  .PIPERX3ELECIDLE ( PIPERX3ELECIDLE ),
2461
  .PIPERX3PHYSTATUS ( PIPERX3PHYSTATUS ),
2462
  .PIPERX3STATUS ( PIPERX3STATUS ),
2463
  .PIPERX3VALID ( PIPERX3VALID ),
2464
  .PIPERX4CHANISALIGNED ( PIPERX4CHANISALIGNED ),
2465
  .PIPERX4CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX4CHARISK ),
2466
  .PIPERX4DATA ( PIPERX4DATA ),
2467
  .PIPERX4ELECIDLE ( PIPERX4ELECIDLE ),
2468
  .PIPERX4PHYSTATUS ( PIPERX4PHYSTATUS ),
2469
  .PIPERX4STATUS ( PIPERX4STATUS ),
2470
  .PIPERX4VALID ( PIPERX4VALID ),
2471
  .PIPERX5CHANISALIGNED ( PIPERX5CHANISALIGNED ),
2472
  .PIPERX5CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX5CHARISK ),
2473
  .PIPERX5DATA ( PIPERX5DATA ),
2474
  .PIPERX5ELECIDLE ( PIPERX5ELECIDLE ),
2475
  .PIPERX5PHYSTATUS ( PIPERX5PHYSTATUS ),
2476
  .PIPERX5STATUS ( PIPERX5STATUS ),
2477
  .PIPERX5VALID ( PIPERX5VALID ),
2478
  .PIPERX6CHANISALIGNED ( PIPERX6CHANISALIGNED ),
2479
  .PIPERX6CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX6CHARISK ),
2480
  .PIPERX6DATA ( PIPERX6DATA ),
2481
  .PIPERX6ELECIDLE ( PIPERX6ELECIDLE ),
2482
  .PIPERX6PHYSTATUS ( PIPERX6PHYSTATUS ),
2483
  .PIPERX6STATUS ( PIPERX6STATUS ),
2484
  .PIPERX6VALID ( PIPERX6VALID ),
2485
  .PIPERX7CHANISALIGNED ( PIPERX7CHANISALIGNED ),
2486
  .PIPERX7CHARISK ( filter_pipe_upconfig_fix_3451 ? 2'b11 : PIPERX7CHARISK ),
2487
  .PIPERX7DATA ( PIPERX7DATA ),
2488
  .PIPERX7ELECIDLE ( PIPERX7ELECIDLE ),
2489
  .PIPERX7PHYSTATUS ( PIPERX7PHYSTATUS ),
2490
  .PIPERX7STATUS ( PIPERX7STATUS ),
2491
  .PIPERX7VALID ( PIPERX7VALID ),
2492
  .PLDBGMODE ( PLDBGMODE ),
2493
  .PLDIRECTEDLINKAUTON ( PLDIRECTEDLINKAUTON ),
2494
  .PLDIRECTEDLINKCHANGE ( PLDIRECTEDLINKCHANGE ),
2495
  .PLDIRECTEDLINKSPEED ( PLDIRECTEDLINKSPEED ),
2496
  .PLDIRECTEDLINKWIDTH ( PLDIRECTEDLINKWIDTH ),
2497
  .PLDOWNSTREAMDEEMPHSOURCE ( PLDOWNSTREAMDEEMPHSOURCE ),
2498
  .PLRSTN ( PLRSTN ),
2499
  .PLTRANSMITHOTRST ( PLTRANSMITHOTRST ),
2500
  .PLUPSTREAMPREFERDEEMPH ( PLUPSTREAMPREFERDEEMPH ),
2501
  .PL2DIRECTEDLSTATE ( PL2DIRECTEDLSTATE ),
2502
  .SYSRSTN ( SYSRSTN ),
2503
  .TLRSTN ( TLRSTN ),
2504
  .TL2ASPMSUSPENDCREDITCHECKN ( 1'b1),
2505
  .TL2PPMSUSPENDREQN ( 1'b1 ),
2506
  .TRNFCSEL ( TRNFCSEL ),
2507
  .TRNRDSTRDYN ( TRNRDSTRDYN ),
2508
  .TRNRNPOKN ( TRNRNPOKN ),
2509
  .TRNTCFGGNTN ( TRNTCFGGNTN ),
2510
  .TRNTD ( TRNTD ),
2511
  .TRNTDLLPDATA ( TRNTDLLPDATA ),
2512
  .TRNTDLLPSRCRDYN ( TRNTDLLPSRCRDYN ),
2513
  .TRNTECRCGENN ( TRNTECRCGENN ),
2514
  .TRNTEOFN ( TRNTEOFN ),
2515
  .TRNTERRFWDN ( TRNTERRFWDN ),
2516
  .TRNTREMN ( TRNTREMN ),
2517
  .TRNTSOFN ( TRNTSOFN ),
2518
  .TRNTSRCDSCN ( TRNTSRCDSCN ),
2519
  .TRNTSRCRDYN ( TRNTSRCRDYN ),
2520
  .TRNTSTRN ( TRNTSTRN ),
2521
  .USERCLK ( USERCLK )
2522
 
2523
);
2524
 
2525
//-------------------------------------------------------
2526
// Virtex6 PIPE Module
2527
//-------------------------------------------------------
2528
 
2529
pcie_pipe_v6 # (
2530
 
2531
   .NO_OF_LANES(LINK_CAP_MAX_LINK_WIDTH),
2532
   .LINK_CAP_MAX_LINK_SPEED(LINK_CAP_MAX_LINK_SPEED),
2533
   .PIPE_PIPELINE_STAGES(PIPE_PIPELINE_STAGES)
2534
 
2535
)
2536
pcie_pipe_i (
2537
 
2538
  // Pipe Per-Link Signals 
2539
  .pipe_tx_rcvr_det_i       (PIPETXRCVRDET),
2540
  .pipe_tx_reset_i          (PIPETXRESET),
2541
  .pipe_tx_rate_i           (PIPETXRATE),
2542
  .pipe_tx_deemph_i         (PIPETXDEEMPH),
2543
  .pipe_tx_margin_i         (PIPETXMARGIN),
2544
  .pipe_tx_swing_i          (1'b0),
2545
 
2546
  .pipe_tx_rcvr_det_o       (PIPETXRCVRDETGT),
2547
  .pipe_tx_reset_o          ( ),
2548
  .pipe_tx_rate_o           (PIPETXRATEGT),
2549
  .pipe_tx_deemph_o         (PIPETXDEEMPHGT),
2550
  .pipe_tx_margin_o         (PIPETXMARGINGT),
2551
  .pipe_tx_swing_o          ( ),
2552
 
2553
  // Pipe Per-Lane Signals - Lane 0
2554
  .pipe_rx0_char_is_k_o     (PIPERX0CHARISK         ),
2555
  .pipe_rx0_data_o          (PIPERX0DATA            ),
2556
  .pipe_rx0_valid_o         (PIPERX0VALID           ),
2557
  .pipe_rx0_chanisaligned_o (PIPERX0CHANISALIGNED   ),
2558
  .pipe_rx0_status_o        (PIPERX0STATUS          ),
2559
  .pipe_rx0_phy_status_o    (PIPERX0PHYSTATUS       ),
2560
  .pipe_rx0_elec_idle_i     (PIPERX0ELECIDLEGT      ),
2561
  .pipe_rx0_polarity_i      (PIPERX0POLARITY        ),
2562
  .pipe_tx0_compliance_i    (PIPETX0COMPLIANCE      ),
2563
  .pipe_tx0_char_is_k_i     (PIPETX0CHARISK         ),
2564
  .pipe_tx0_data_i          (PIPETX0DATA            ),
2565
  .pipe_tx0_elec_idle_i     (PIPETX0ELECIDLE        ),
2566
  .pipe_tx0_powerdown_i     (PIPETX0POWERDOWN       ),
2567
 
2568
  .pipe_rx0_char_is_k_i     (PIPERX0CHARISKGT       ),
2569
  .pipe_rx0_data_i          (PIPERX0DATAGT          ),
2570
  .pipe_rx0_valid_i         (PIPERX0VALIDGT         ),
2571
  .pipe_rx0_chanisaligned_i (PIPERX0CHANISALIGNEDGT ),
2572
  .pipe_rx0_status_i        (PIPERX0STATUSGT        ),
2573
  .pipe_rx0_phy_status_i    (PIPERX0PHYSTATUSGT     ),
2574
  .pipe_rx0_elec_idle_o     (PIPERX0ELECIDLE        ),
2575
  .pipe_rx0_polarity_o      (PIPERX0POLARITYGT      ),
2576
  .pipe_tx0_compliance_o    (PIPETX0COMPLIANCEGT    ),
2577
  .pipe_tx0_char_is_k_o     (PIPETX0CHARISKGT       ),
2578
  .pipe_tx0_data_o          (PIPETX0DATAGT          ),
2579
  .pipe_tx0_elec_idle_o     (PIPETX0ELECIDLEGT      ),
2580
  .pipe_tx0_powerdown_o     (PIPETX0POWERDOWNGT     ),
2581
 
2582
  // Pipe Per-Lane Signals - Lane 1
2583
  .pipe_rx1_char_is_k_o     (PIPERX1CHARISK         ),
2584
  .pipe_rx1_data_o          (PIPERX1DATA            ),
2585
  .pipe_rx1_valid_o         (PIPERX1VALID           ),
2586
  .pipe_rx1_chanisaligned_o (PIPERX1CHANISALIGNED   ),
2587
  .pipe_rx1_status_o        (PIPERX1STATUS          ),
2588
  .pipe_rx1_phy_status_o    (PIPERX1PHYSTATUS       ),
2589
  .pipe_rx1_elec_idle_i     (PIPERX1ELECIDLEGT      ),
2590
  .pipe_rx1_polarity_i      (PIPERX1POLARITY        ),
2591
  .pipe_tx1_compliance_i    (PIPETX1COMPLIANCE      ),
2592
  .pipe_tx1_char_is_k_i     (PIPETX1CHARISK         ),
2593
  .pipe_tx1_data_i          (PIPETX1DATA            ),
2594
  .pipe_tx1_elec_idle_i     (PIPETX1ELECIDLE        ),
2595
  .pipe_tx1_powerdown_i     (PIPETX1POWERDOWN       ),
2596
 
2597
  .pipe_rx1_char_is_k_i     (PIPERX1CHARISKGT       ),
2598
  .pipe_rx1_data_i          (PIPERX1DATAGT          ),
2599
  .pipe_rx1_valid_i         (PIPERX1VALIDGT         ),
2600
  .pipe_rx1_chanisaligned_i (PIPERX1CHANISALIGNEDGT ),
2601
  .pipe_rx1_status_i        (PIPERX1STATUSGT        ),
2602
  .pipe_rx1_phy_status_i    (PIPERX1PHYSTATUSGT     ),
2603
  .pipe_rx1_elec_idle_o     (PIPERX1ELECIDLE        ),
2604
  .pipe_rx1_polarity_o      (PIPERX1POLARITYGT      ),
2605
  .pipe_tx1_compliance_o    (PIPETX1COMPLIANCEGT    ),
2606
  .pipe_tx1_char_is_k_o     (PIPETX1CHARISKGT       ),
2607
  .pipe_tx1_data_o          (PIPETX1DATAGT          ),
2608
  .pipe_tx1_elec_idle_o     (PIPETX1ELECIDLEGT      ),
2609
  .pipe_tx1_powerdown_o     (PIPETX1POWERDOWNGT     ),
2610
 
2611
  // Pipe Per-Lane Signals - Lane 2
2612
  .pipe_rx2_char_is_k_o     (PIPERX2CHARISK         ),
2613
  .pipe_rx2_data_o          (PIPERX2DATA            ),
2614
  .pipe_rx2_valid_o         (PIPERX2VALID           ),
2615
  .pipe_rx2_chanisaligned_o (PIPERX2CHANISALIGNED   ),
2616
  .pipe_rx2_status_o        (PIPERX2STATUS          ),
2617
  .pipe_rx2_phy_status_o    (PIPERX2PHYSTATUS       ),
2618
  .pipe_rx2_elec_idle_i     (PIPERX2ELECIDLEGT      ),
2619
  .pipe_rx2_polarity_i      (PIPERX2POLARITY        ),
2620
  .pipe_tx2_compliance_i    (PIPETX2COMPLIANCE      ),
2621
  .pipe_tx2_char_is_k_i     (PIPETX2CHARISK         ),
2622
  .pipe_tx2_data_i          (PIPETX2DATA            ),
2623
  .pipe_tx2_elec_idle_i     (PIPETX2ELECIDLE        ),
2624
  .pipe_tx2_powerdown_i     (PIPETX2POWERDOWN       ),
2625
 
2626
  .pipe_rx2_char_is_k_i     (PIPERX2CHARISKGT       ),
2627
  .pipe_rx2_data_i          (PIPERX2DATAGT          ),
2628
  .pipe_rx2_valid_i         (PIPERX2VALIDGT         ),
2629
  .pipe_rx2_chanisaligned_i (PIPERX2CHANISALIGNEDGT ),
2630
  .pipe_rx2_status_i        (PIPERX2STATUSGT        ),
2631
  .pipe_rx2_phy_status_i    (PIPERX2PHYSTATUSGT     ),
2632
  .pipe_rx2_elec_idle_o     (PIPERX2ELECIDLE        ),
2633
  .pipe_rx2_polarity_o      (PIPERX2POLARITYGT      ),
2634
  .pipe_tx2_compliance_o    (PIPETX2COMPLIANCEGT    ),
2635
  .pipe_tx2_char_is_k_o     (PIPETX2CHARISKGT       ),
2636
  .pipe_tx2_data_o          (PIPETX2DATAGT          ),
2637
  .pipe_tx2_elec_idle_o     (PIPETX2ELECIDLEGT      ),
2638
  .pipe_tx2_powerdown_o     (PIPETX2POWERDOWNGT     ),
2639
 
2640
  // Pipe Per-Lane Signals - Lane 3
2641
  .pipe_rx3_char_is_k_o     (PIPERX3CHARISK         ),
2642
  .pipe_rx3_data_o          (PIPERX3DATA            ),
2643
  .pipe_rx3_valid_o         (PIPERX3VALID           ),
2644
  .pipe_rx3_chanisaligned_o (PIPERX3CHANISALIGNED   ),
2645
  .pipe_rx3_status_o        (PIPERX3STATUS          ),
2646
  .pipe_rx3_phy_status_o    (PIPERX3PHYSTATUS       ),
2647
  .pipe_rx3_elec_idle_i     (PIPERX3ELECIDLEGT      ),
2648
  .pipe_rx3_polarity_i      (PIPERX3POLARITY        ),
2649
  .pipe_tx3_compliance_i    (PIPETX3COMPLIANCE      ),
2650
  .pipe_tx3_char_is_k_i     (PIPETX3CHARISK         ),
2651
  .pipe_tx3_data_i          (PIPETX3DATA            ),
2652
  .pipe_tx3_elec_idle_i     (PIPETX3ELECIDLE        ),
2653
  .pipe_tx3_powerdown_i     (PIPETX3POWERDOWN       ),
2654
 
2655
  .pipe_rx3_char_is_k_i     (PIPERX3CHARISKGT       ),
2656
  .pipe_rx3_data_i          (PIPERX3DATAGT          ),
2657
  .pipe_rx3_valid_i         (PIPERX3VALIDGT         ),
2658
  .pipe_rx3_chanisaligned_i (PIPERX3CHANISALIGNEDGT ),
2659
  .pipe_rx3_status_i        (PIPERX3STATUSGT        ),
2660
  .pipe_rx3_phy_status_i    (PIPERX3PHYSTATUSGT     ),
2661
  .pipe_rx3_elec_idle_o     (PIPERX3ELECIDLE        ),
2662
  .pipe_rx3_polarity_o      (PIPERX3POLARITYGT      ),
2663
  .pipe_tx3_compliance_o    (PIPETX3COMPLIANCEGT    ),
2664
  .pipe_tx3_char_is_k_o     (PIPETX3CHARISKGT       ),
2665
  .pipe_tx3_data_o          (PIPETX3DATAGT          ),
2666
  .pipe_tx3_elec_idle_o     (PIPETX3ELECIDLEGT      ),
2667
  .pipe_tx3_powerdown_o     (PIPETX3POWERDOWNGT     ),
2668
 
2669
   // Pipe Per-Lane Signals - Lane 4
2670
  .pipe_rx4_char_is_k_o     (PIPERX4CHARISK         ),
2671
  .pipe_rx4_data_o          (PIPERX4DATA            ),
2672
  .pipe_rx4_valid_o         (PIPERX4VALID           ),
2673
  .pipe_rx4_chanisaligned_o (PIPERX4CHANISALIGNED   ),
2674
  .pipe_rx4_status_o        (PIPERX4STATUS          ),
2675
  .pipe_rx4_phy_status_o    (PIPERX4PHYSTATUS       ),
2676
  .pipe_rx4_elec_idle_i     (PIPERX4ELECIDLEGT      ),
2677
  .pipe_rx4_polarity_i      (PIPERX4POLARITY        ),
2678
  .pipe_tx4_compliance_i    (PIPETX4COMPLIANCE      ),
2679
  .pipe_tx4_char_is_k_i     (PIPETX4CHARISK         ),
2680
  .pipe_tx4_data_i          (PIPETX4DATA            ),
2681
  .pipe_tx4_elec_idle_i     (PIPETX4ELECIDLE        ),
2682
  .pipe_tx4_powerdown_i     (PIPETX4POWERDOWN       ),
2683
 
2684
  .pipe_rx4_char_is_k_i     (PIPERX4CHARISKGT       ),
2685
  .pipe_rx4_data_i          (PIPERX4DATAGT          ),
2686
  .pipe_rx4_valid_i         (PIPERX4VALIDGT         ),
2687
  .pipe_rx4_chanisaligned_i (PIPERX4CHANISALIGNEDGT ),
2688
  .pipe_rx4_status_i        (PIPERX4STATUSGT        ),
2689
  .pipe_rx4_phy_status_i    (PIPERX4PHYSTATUSGT     ),
2690
  .pipe_rx4_elec_idle_o     (PIPERX4ELECIDLE        ),
2691
  .pipe_rx4_polarity_o      (PIPERX4POLARITYGT      ),
2692
  .pipe_tx4_compliance_o    (PIPETX4COMPLIANCEGT    ),
2693
  .pipe_tx4_char_is_k_o     (PIPETX4CHARISKGT       ),
2694
  .pipe_tx4_data_o          (PIPETX4DATAGT          ),
2695
  .pipe_tx4_elec_idle_o     (PIPETX4ELECIDLEGT      ),
2696
  .pipe_tx4_powerdown_o     (PIPETX4POWERDOWNGT     ),
2697
 
2698
  // Pipe Per-Lane Signals - Lane 5
2699
  .pipe_rx5_char_is_k_o     (PIPERX5CHARISK         ),
2700
  .pipe_rx5_data_o          (PIPERX5DATA            ),
2701
  .pipe_rx5_valid_o         (PIPERX5VALID           ),
2702
  .pipe_rx5_chanisaligned_o (PIPERX5CHANISALIGNED   ),
2703
  .pipe_rx5_status_o        (PIPERX5STATUS          ),
2704
  .pipe_rx5_phy_status_o    (PIPERX5PHYSTATUS       ),
2705
  .pipe_rx5_elec_idle_i     (PIPERX5ELECIDLEGT      ),
2706
  .pipe_rx5_polarity_i      (PIPERX5POLARITY        ),
2707
  .pipe_tx5_compliance_i    (PIPETX5COMPLIANCE      ),
2708
  .pipe_tx5_char_is_k_i     (PIPETX5CHARISK         ),
2709
  .pipe_tx5_data_i          (PIPETX5DATA            ),
2710
  .pipe_tx5_elec_idle_i     (PIPETX5ELECIDLE        ),
2711
  .pipe_tx5_powerdown_i     (PIPETX5POWERDOWN       ),
2712
 
2713
  .pipe_rx5_char_is_k_i     (PIPERX5CHARISKGT       ),
2714
  .pipe_rx5_data_i          (PIPERX5DATAGT          ),
2715
  .pipe_rx5_valid_i         (PIPERX5VALIDGT         ),
2716
  .pipe_rx5_chanisaligned_i (PIPERX5CHANISALIGNEDGT ),
2717
  .pipe_rx5_status_i        (PIPERX5STATUSGT        ),
2718
  .pipe_rx5_phy_status_i    (PIPERX5PHYSTATUSGT     ),
2719
  .pipe_rx5_elec_idle_o     (PIPERX5ELECIDLE        ),
2720
  .pipe_rx5_polarity_o      (PIPERX5POLARITYGT      ),
2721
  .pipe_tx5_compliance_o    (PIPETX5COMPLIANCEGT    ),
2722
  .pipe_tx5_char_is_k_o     (PIPETX5CHARISKGT       ),
2723
  .pipe_tx5_data_o          (PIPETX5DATAGT          ),
2724
  .pipe_tx5_elec_idle_o     (PIPETX5ELECIDLEGT      ),
2725
  .pipe_tx5_powerdown_o     (PIPETX5POWERDOWNGT     ),
2726
 
2727
  // Pipe Per-Lane Signals - Lane 6
2728
  .pipe_rx6_char_is_k_o     (PIPERX6CHARISK         ),
2729
  .pipe_rx6_data_o          (PIPERX6DATA            ),
2730
  .pipe_rx6_valid_o         (PIPERX6VALID           ),
2731
  .pipe_rx6_chanisaligned_o (PIPERX6CHANISALIGNED   ),
2732
  .pipe_rx6_status_o        (PIPERX6STATUS          ),
2733
  .pipe_rx6_phy_status_o    (PIPERX6PHYSTATUS       ),
2734
  .pipe_rx6_elec_idle_i     (PIPERX6ELECIDLEGT      ),
2735
  .pipe_rx6_polarity_i      (PIPERX6POLARITY        ),
2736
  .pipe_tx6_compliance_i    (PIPETX6COMPLIANCE      ),
2737
  .pipe_tx6_char_is_k_i     (PIPETX6CHARISK         ),
2738
  .pipe_tx6_data_i          (PIPETX6DATA            ),
2739
  .pipe_tx6_elec_idle_i     (PIPETX6ELECIDLE        ),
2740
  .pipe_tx6_powerdown_i     (PIPETX6POWERDOWN       ),
2741
 
2742
  .pipe_rx6_char_is_k_i     (PIPERX6CHARISKGT       ),
2743
  .pipe_rx6_data_i          (PIPERX6DATAGT          ),
2744
  .pipe_rx6_valid_i         (PIPERX6VALIDGT         ),
2745
  .pipe_rx6_chanisaligned_i (PIPERX6CHANISALIGNEDGT ),
2746
  .pipe_rx6_status_i        (PIPERX6STATUSGT        ),
2747
  .pipe_rx6_phy_status_i    (PIPERX6PHYSTATUSGT     ),
2748
  .pipe_rx6_elec_idle_o     (PIPERX6ELECIDLE        ),
2749
  .pipe_rx6_polarity_o      (PIPERX6POLARITYGT      ),
2750
  .pipe_tx6_compliance_o    (PIPETX6COMPLIANCEGT    ),
2751
  .pipe_tx6_char_is_k_o     (PIPETX6CHARISKGT       ),
2752
  .pipe_tx6_data_o          (PIPETX6DATAGT          ),
2753
  .pipe_tx6_elec_idle_o     (PIPETX6ELECIDLEGT      ),
2754
  .pipe_tx6_powerdown_o     (PIPETX6POWERDOWNGT     ),
2755
 
2756
  // Pipe Per-Lane Signals - Lane 7
2757
  .pipe_rx7_char_is_k_o     (PIPERX7CHARISK         ),
2758
  .pipe_rx7_data_o          (PIPERX7DATA            ),
2759
  .pipe_rx7_valid_o         (PIPERX7VALID           ),
2760
  .pipe_rx7_chanisaligned_o (PIPERX7CHANISALIGNED   ),
2761
  .pipe_rx7_status_o        (PIPERX7STATUS          ),
2762
  .pipe_rx7_phy_status_o    (PIPERX7PHYSTATUS       ),
2763
  .pipe_rx7_elec_idle_i     (PIPERX7ELECIDLEGT      ),
2764
  .pipe_rx7_polarity_i      (PIPERX7POLARITY        ),
2765
  .pipe_tx7_compliance_i    (PIPETX7COMPLIANCE      ),
2766
  .pipe_tx7_char_is_k_i     (PIPETX7CHARISK         ),
2767
  .pipe_tx7_data_i          (PIPETX7DATA            ),
2768
  .pipe_tx7_elec_idle_i     (PIPETX7ELECIDLE        ),
2769
  .pipe_tx7_powerdown_i     (PIPETX7POWERDOWN       ),
2770
 
2771
  .pipe_rx7_char_is_k_i     (PIPERX7CHARISKGT       ),
2772
  .pipe_rx7_data_i          (PIPERX7DATAGT          ),
2773
  .pipe_rx7_valid_i         (PIPERX7VALIDGT         ),
2774
  .pipe_rx7_chanisaligned_i (PIPERX7CHANISALIGNEDGT ),
2775
  .pipe_rx7_status_i        (PIPERX7STATUSGT        ),
2776
  .pipe_rx7_phy_status_i    (PIPERX7PHYSTATUSGT     ),
2777
  .pipe_rx7_elec_idle_o     (PIPERX7ELECIDLE        ),
2778
  .pipe_rx7_polarity_o      (PIPERX7POLARITYGT      ),
2779
  .pipe_tx7_compliance_o    (PIPETX7COMPLIANCEGT    ),
2780
  .pipe_tx7_char_is_k_o     (PIPETX7CHARISKGT       ),
2781
  .pipe_tx7_data_o          (PIPETX7DATAGT          ),
2782
  .pipe_tx7_elec_idle_o     (PIPETX7ELECIDLEGT      ),
2783
  .pipe_tx7_powerdown_o     (PIPETX7POWERDOWNGT     ),
2784
 
2785
  // Non PIPE signals
2786
  .pl_ltssm_state           (PLLTSSMSTATE           ),
2787
  .pipe_clk                 (PIPECLK                ),
2788
  .rst_n                    (PHYRDYN                )
2789
);
2790
 
2791
//-------------------------------------------------------
2792
// Virtex6 GTX Module
2793
//-------------------------------------------------------
2794
 
2795
pcie_gtx_v6 #(
2796
 
2797
  .NO_OF_LANES(LINK_CAP_MAX_LINK_WIDTH),
2798
  .LINK_CAP_MAX_LINK_SPEED(LINK_CAP_MAX_LINK_SPEED),
2799
  .REF_CLK_FREQ(REF_CLK_FREQ),
2800
  .PL_FAST_TRAIN(PL_FAST_TRAIN)
2801
 
2802
)
2803
pcie_gt_i (
2804
 
2805
  // Pipe Common Signals 
2806
  .pipe_tx_rcvr_det         (PIPETXRCVRDETGT        ),
2807
  .pipe_tx_reset            (1'b0                   ),
2808
  .pipe_tx_rate             (PIPETXRATEGT           ),
2809
  .pipe_tx_deemph           (PIPETXDEEMPHGT         ),
2810
  .pipe_tx_margin           (PIPETXMARGINGT         ),
2811
  .pipe_tx_swing            (1'b0),
2812
 
2813
  // Pipe Per-Lane Signals - Lane 0
2814
  .pipe_rx0_char_is_k       (PIPERX0CHARISKGT       ),
2815
  .pipe_rx0_data            (PIPERX0DATAGT          ),
2816
  .pipe_rx0_valid           (PIPERX0VALIDGT         ),
2817
  .pipe_rx0_chanisaligned   (PIPERX0CHANISALIGNEDGT ),
2818
  .pipe_rx0_status          (PIPERX0STATUSGT        ),
2819
  .pipe_rx0_phy_status      (PIPERX0PHYSTATUSGT     ),
2820
  .pipe_rx0_elec_idle       (PIPERX0ELECIDLEGT      ),
2821
  .pipe_rx0_polarity        (PIPERX0POLARITYGT      ),
2822
  .pipe_tx0_compliance      (PIPETX0COMPLIANCEGT    ),
2823
  .pipe_tx0_char_is_k       (PIPETX0CHARISKGT       ),
2824
  .pipe_tx0_data            (PIPETX0DATAGT          ),
2825
  .pipe_tx0_elec_idle       (PIPETX0ELECIDLEGT      ),
2826
  .pipe_tx0_powerdown       (PIPETX0POWERDOWNGT     ),
2827
 
2828
  // Pipe Per-Lane Signals - Lane 1
2829
  .pipe_rx1_char_is_k       (PIPERX1CHARISKGT       ),
2830
  .pipe_rx1_data            (PIPERX1DATAGT          ),
2831
  .pipe_rx1_valid           (PIPERX1VALIDGT         ),
2832
  .pipe_rx1_chanisaligned   (PIPERX1CHANISALIGNEDGT ),
2833
  .pipe_rx1_status          (PIPERX1STATUSGT        ),
2834
  .pipe_rx1_phy_status      (PIPERX1PHYSTATUSGT     ),
2835
  .pipe_rx1_elec_idle       (PIPERX1ELECIDLEGT      ),
2836
  .pipe_rx1_polarity        (PIPERX1POLARITYGT      ),
2837
  .pipe_tx1_compliance      (PIPETX1COMPLIANCEGT    ),
2838
  .pipe_tx1_char_is_k       (PIPETX1CHARISKGT       ),
2839
  .pipe_tx1_data            (PIPETX1DATAGT          ),
2840
  .pipe_tx1_elec_idle       (PIPETX1ELECIDLEGT      ),
2841
  .pipe_tx1_powerdown       (PIPETX1POWERDOWNGT     ),
2842
 
2843
  // Pipe Per-Lane Signals - Lane 2
2844
  .pipe_rx2_char_is_k       (PIPERX2CHARISKGT       ),
2845
  .pipe_rx2_data            (PIPERX2DATAGT          ),
2846
  .pipe_rx2_valid           (PIPERX2VALIDGT         ),
2847
  .pipe_rx2_chanisaligned   (PIPERX2CHANISALIGNEDGT ),
2848
  .pipe_rx2_status          (PIPERX2STATUSGT        ),
2849
  .pipe_rx2_phy_status      (PIPERX2PHYSTATUSGT     ),
2850
  .pipe_rx2_elec_idle       (PIPERX2ELECIDLEGT      ),
2851
  .pipe_rx2_polarity        (PIPERX2POLARITYGT      ),
2852
  .pipe_tx2_compliance      (PIPETX2COMPLIANCEGT    ),
2853
  .pipe_tx2_char_is_k       (PIPETX2CHARISKGT       ),
2854
  .pipe_tx2_data            (PIPETX2DATAGT          ),
2855
  .pipe_tx2_elec_idle       (PIPETX2ELECIDLEGT      ),
2856
  .pipe_tx2_powerdown       (PIPETX2POWERDOWNGT     ),
2857
 
2858
  // Pipe Per-Lane Signals - Lane 3
2859
  .pipe_rx3_char_is_k       (PIPERX3CHARISKGT       ),
2860
  .pipe_rx3_data            (PIPERX3DATAGT          ),
2861
  .pipe_rx3_valid           (PIPERX3VALIDGT         ),
2862
  .pipe_rx3_chanisaligned   (PIPERX3CHANISALIGNEDGT ),
2863
  .pipe_rx3_status          (PIPERX3STATUSGT        ),
2864
  .pipe_rx3_phy_status      (PIPERX3PHYSTATUSGT     ),
2865
  .pipe_rx3_elec_idle       (PIPERX3ELECIDLEGT      ),
2866
  .pipe_rx3_polarity        (PIPERX3POLARITYGT      ),
2867
  .pipe_tx3_compliance      (PIPETX3COMPLIANCEGT    ),
2868
  .pipe_tx3_char_is_k       (PIPETX3CHARISKGT       ),
2869
  .pipe_tx3_data            (PIPETX3DATAGT          ),
2870
  .pipe_tx3_elec_idle       (PIPETX3ELECIDLEGT      ),
2871
  .pipe_tx3_powerdown       (PIPETX3POWERDOWNGT     ),
2872
 
2873
  // Pipe Per-Lane Signals - Lane 4
2874
  .pipe_rx4_char_is_k       (PIPERX4CHARISKGT       ),
2875
  .pipe_rx4_data            (PIPERX4DATAGT          ),
2876
  .pipe_rx4_valid           (PIPERX4VALIDGT         ),
2877
  .pipe_rx4_chanisaligned   (PIPERX4CHANISALIGNEDGT ),
2878
  .pipe_rx4_status          (PIPERX4STATUSGT        ),
2879
  .pipe_rx4_phy_status      (PIPERX4PHYSTATUSGT     ),
2880
  .pipe_rx4_elec_idle       (PIPERX4ELECIDLEGT      ),
2881
  .pipe_rx4_polarity        (PIPERX4POLARITYGT      ),
2882
  .pipe_tx4_compliance      (PIPETX4COMPLIANCEGT    ),
2883
  .pipe_tx4_char_is_k       (PIPETX4CHARISKGT       ),
2884
  .pipe_tx4_data            (PIPETX4DATAGT          ),
2885
  .pipe_tx4_elec_idle       (PIPETX4ELECIDLEGT      ),
2886
  .pipe_tx4_powerdown       (PIPETX4POWERDOWNGT     ),
2887
 
2888
  // Pipe Per-Lane Signals - Lane 5
2889
  .pipe_rx5_char_is_k       (PIPERX5CHARISKGT       ),
2890
  .pipe_rx5_data            (PIPERX5DATAGT          ),
2891
  .pipe_rx5_valid           (PIPERX5VALIDGT         ),
2892
  .pipe_rx5_chanisaligned   (PIPERX5CHANISALIGNEDGT ),
2893
  .pipe_rx5_status          (PIPERX5STATUSGT        ),
2894
  .pipe_rx5_phy_status      (PIPERX5PHYSTATUSGT     ),
2895
  .pipe_rx5_elec_idle       (PIPERX5ELECIDLEGT      ),
2896
  .pipe_rx5_polarity        (PIPERX5POLARITYGT      ),
2897
  .pipe_tx5_compliance      (PIPETX5COMPLIANCEGT    ),
2898
  .pipe_tx5_char_is_k       (PIPETX5CHARISKGT       ),
2899
  .pipe_tx5_data            (PIPETX5DATAGT          ),
2900
  .pipe_tx5_elec_idle       (PIPETX5ELECIDLEGT      ),
2901
  .pipe_tx5_powerdown       (PIPETX5POWERDOWNGT     ),
2902
 
2903
  // Pipe Per-Lane Signals - Lane 6
2904
  .pipe_rx6_char_is_k       (PIPERX6CHARISKGT       ),
2905
  .pipe_rx6_data            (PIPERX6DATAGT          ),
2906
  .pipe_rx6_valid           (PIPERX6VALIDGT         ),
2907
  .pipe_rx6_chanisaligned   (PIPERX6CHANISALIGNEDGT ),
2908
  .pipe_rx6_status          (PIPERX6STATUSGT        ),
2909
  .pipe_rx6_phy_status      (PIPERX6PHYSTATUSGT     ),
2910
  .pipe_rx6_elec_idle       (PIPERX6ELECIDLEGT      ),
2911
  .pipe_rx6_polarity        (PIPERX6POLARITYGT      ),
2912
  .pipe_tx6_compliance      (PIPETX6COMPLIANCEGT    ),
2913
  .pipe_tx6_char_is_k       (PIPETX6CHARISKGT       ),
2914
  .pipe_tx6_data            (PIPETX6DATAGT          ),
2915
  .pipe_tx6_elec_idle       (PIPETX6ELECIDLEGT      ),
2916
  .pipe_tx6_powerdown       (PIPETX6POWERDOWNGT     ),
2917
 
2918
  // Pipe Per-Lane Signals - Lane 7
2919
  .pipe_rx7_char_is_k       (PIPERX7CHARISKGT       ),
2920
  .pipe_rx7_data            (PIPERX7DATAGT          ),
2921
  .pipe_rx7_valid           (PIPERX7VALIDGT         ),
2922
  .pipe_rx7_chanisaligned   (PIPERX7CHANISALIGNEDGT ),
2923
  .pipe_rx7_status          (PIPERX7STATUSGT        ),
2924
  .pipe_rx7_phy_status      (PIPERX7PHYSTATUSGT     ),
2925
  .pipe_rx7_elec_idle       (PIPERX7ELECIDLEGT      ),
2926
  .pipe_rx7_polarity        (PIPERX7POLARITYGT      ),
2927
  .pipe_tx7_compliance      (PIPETX7COMPLIANCEGT    ),
2928
  .pipe_tx7_char_is_k       (PIPETX7CHARISKGT       ),
2929
  .pipe_tx7_data            (PIPETX7DATAGT          ),
2930
  .pipe_tx7_elec_idle       (PIPETX7ELECIDLEGT      ),
2931
  .pipe_tx7_powerdown       (PIPETX7POWERDOWNGT     ),
2932
 
2933
  // PCI Express Signals
2934
  .pci_exp_txn              (PCIEXPTXN            ),
2935
  .pci_exp_txp              (PCIEXPTXP            ),
2936
  .pci_exp_rxn              (PCIEXPRXN            ),
2937
  .pci_exp_rxp              (PCIEXPRXP            ),
2938
 
2939
  // Non PIPE Signals
2940
  .sys_clk                  (SYSCLK               ),
2941
  .sys_rst_n                (FUNDRSTN             ),
2942
  .pipe_clk                 (PIPECLK              ),
2943
  .drp_clk                  (DRPCLK               ),
2944
  .clock_locked             (CLOCKLOCKED          ),
2945
  .pl_ltssm_state           (PLLTSSMSTATE         ),
2946
 
2947
  .gt_pll_lock              (GTPLLLOCK            ),
2948
  .phy_rdy_n                (PHYRDYN              ),
2949
  .TxOutClk                 (TxOutClk             )
2950
 
2951
);
2952
 
2953
//-------------------------------------------------------
2954
// PCI Express BRAM Module
2955
//-------------------------------------------------------
2956
 
2957
pcie_bram_top_v6 #(
2958
 
2959
  .DEV_CAP_MAX_PAYLOAD_SUPPORTED(DEV_CAP_MAX_PAYLOAD_SUPPORTED),
2960
 
2961
  .VC0_TX_LASTPACKET(VC0_TX_LASTPACKET),
2962
  .TL_TX_RAM_RADDR_LATENCY(TL_TX_RAM_RADDR_LATENCY),
2963
  .TL_TX_RAM_RDATA_LATENCY(TL_TX_RAM_RDATA_LATENCY),
2964
  .TL_TX_RAM_WRITE_LATENCY(TL_TX_RAM_WRITE_LATENCY),
2965
 
2966
  .VC0_RX_LIMIT(VC0_RX_RAM_LIMIT),
2967
  .TL_RX_RAM_RADDR_LATENCY(TL_RX_RAM_RADDR_LATENCY),
2968
  .TL_RX_RAM_RDATA_LATENCY(TL_RX_RAM_RDATA_LATENCY),
2969
  .TL_RX_RAM_WRITE_LATENCY(TL_RX_RAM_WRITE_LATENCY)
2970
 
2971
)
2972
pcie_bram_i (
2973
 
2974
  .user_clk_i( USERCLK ),
2975
  .reset_i( PHYRDYN ),
2976
 
2977
  .mim_tx_waddr( MIMTXWADDR ),
2978
  .mim_tx_wen( MIMTXWEN ),
2979
  .mim_tx_ren( MIMTXREN ),
2980
  .mim_tx_rce( MIMTXRCE ),
2981
  .mim_tx_wdata( {3'b000, MIMTXWDATA} ),
2982
  .mim_tx_raddr( MIMTXRADDR ),
2983
  .mim_tx_rdata( MIMTXRDATA ),
2984
 
2985
  .mim_rx_waddr( MIMRXWADDR ),
2986
  .mim_rx_wen( MIMRXWEN ),
2987
  .mim_rx_ren( MIMRXREN ),
2988
  .mim_rx_rce( MIMRXRCE ),
2989
  .mim_rx_wdata( {4'b0000, MIMRXWDATA} ),
2990
  .mim_rx_raddr( MIMRXRADDR ),
2991
  .mim_rx_rdata( MIMRXRDATA )
2992
 
2993
);
2994
 
2995
 
2996
//-------------------------------------------------------
2997
// PCI Express Port Workarounds
2998
//-------------------------------------------------------
2999
 
3000
pcie_upconfig_fix_3451_v6 # (
3001
 
3002
  .UPSTREAM_FACING ( UPSTREAM_FACING ),
3003
  .PL_FAST_TRAIN ( PL_FAST_TRAIN ),
3004
  .LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH )
3005
 
3006
)
3007
pcie_upconfig_fix_3451_v6_i (
3008
 
3009
  .pipe_clk(PIPECLK),
3010
  .pl_phy_lnkup_n(PLPHYLNKUPN),
3011
 
3012
  .pl_ltssm_state(PLLTSSMSTATE),
3013
  .pl_sel_lnk_rate(PLSELLNKRATE),
3014
  .pl_directed_link_change(PLDIRECTEDLINKCHANGE),
3015
 
3016
  .cfg_link_status_negotiated_width(CFGLINKSTATUSNEGOTIATEDWIDTH),
3017
  .pipe_rx0_data(PIPERX0DATAGT[15:0]),
3018
  .pipe_rx0_char_isk(PIPERX0CHARISKGT[1:0]),
3019
 
3020
  .filter_pipe(filter_pipe_upconfig_fix_3451)
3021
 
3022
);
3023
 
3024
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.