OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_prime_fifo_plain.veo] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used solely      *
3
*     for design, simulation, implementation and creation of design files      *
4
*     limited to Xilinx devices or technologies. Use with non-Xilinx           *
5
*     devices or technologies is expressly prohibited and immediately          *
6
*     terminates your license.                                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY     *
9
*     FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY     *
10
*     PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE              *
11
*     IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS       *
12
*     MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY       *
13
*     CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY        *
14
*     RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY        *
15
*     DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE    *
16
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
17
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
18
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A    *
19
*     PARTICULAR PURPOSE.                                                      *
20
*                                                                              *
21
*     Xilinx products are not intended for use in life support appliances,     *
22
*     devices, or systems.  Use in such applications are expressly             *
23
*     prohibited.                                                              *
24
*                                                                              *
25
*     (c) Copyright 1995-2012 Xilinx, Inc.                                     *
26
*     All rights reserved.                                                     *
27
*******************************************************************************/
28
 
29
/*******************************************************************************
30
*     Generated from core with identifier: xilinx.com:ip:fifo_generator:8.3    *
31
*                                                                              *
32
*     The FIFO Generator is a parameterizable first-in/first-out memory        *
33
*     queue generator. Use it to generate resource and performance             *
34
*     optimized FIFOs with common or independent read/write clock domains,     *
35
*     and optional fixed or programmable full and empty flags and              *
36
*     handshaking signals.  Choose from a selection of memory resource         *
37
*     types for implementation.  Optional Hamming code based error             *
38
*     detection and correction as well as error injection capability for       *
39
*     system test help to insure data integrity.  FIFO width and depth are     *
40
*     parameterizable, and for native interface FIFOs, asymmetric read and     *
41
*     write port widths are also supported.                                    *
42
*******************************************************************************/
43
 
44
// Interfaces:
45
//    AXI4Stream_MASTER_M_AXIS
46
//    AXI4Stream_SLAVE_S_AXIS
47
//    AXI4_MASTER_M_AXI
48
//    AXI4_SLAVE_S_AXI
49
//    AXI4Lite_MASTER_M_AXI
50
//    AXI4Lite_SLAVE_S_AXI
51
 
52
// The following must be inserted into your Verilog file for this
53
// core to be instantiated. Change the instance name and port connections
54
// (in parentheses) to your own signal names.
55
 
56
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
57
v6_prime_fifo_plain your_instance_name (
58
  .rst(rst), // input rst
59
  .wr_clk(wr_clk), // input wr_clk
60
  .rd_clk(rd_clk), // input rd_clk
61
  .din(din), // input [71 : 0] din
62
  .wr_en(wr_en), // input wr_en
63
  .rd_en(rd_en), // input rd_en
64
  .dout(dout), // output [71 : 0] dout
65
  .full(full), // output full
66
  .empty(empty), // output empty
67
  .prog_full(prog_full) // output prog_full
68
);
69
// INST_TAG_END ------ End INSTANTIATION Template ---------
70
 
71
// You must compile the wrapper file v6_prime_fifo_plain.v when simulating
72
// the core, v6_prime_fifo_plain. When compiling the wrapper file, be sure to
73
// reference the XilinxCoreLib Verilog simulation library. For detailed
74
// instructions, please refer to the "CORE Generator Help".
75
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.