OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [branches/] [Virtex6/] [ML605_ISE13.3/] [ipcore_dir_ISE13.3/] [v6_sfifo_15x128.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 barabba
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: O.76xd
8
--  \   \         Application: netgen
9
--  /   /         Filename: v6_sfifo_15x128.vhd
10
-- /___/   /\     Timestamp: Mon Mar 26 16:06:44 2012
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -w -sim -ofmt vhdl "C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_sfifo_15x128.ngc" "C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_sfifo_15x128.vhd" 
15
-- Device       : 6vlx240tff1156-1
16
-- Input file   : C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_sfifo_15x128.ngc
17
-- Output file  : C:/Temp/Xilinx PCI Express/ML605_ISE13.3/ipcore_dir_ISE13.3/tmp/_cg/v6_sfifo_15x128.vhd
18
-- # of Entities        : 1
19
-- Design Name  : v6_sfifo_15x128
20
-- Xilinx       : C:\Programmi\Xilinx\13.3\ISE_DS\ISE\
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Command Line Tools User Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity v6_sfifo_15x128 is
44
  port (
45
    clk : in STD_LOGIC := 'X';
46
    rst : in STD_LOGIC := 'X';
47
    wr_en : in STD_LOGIC := 'X';
48
    rd_en : in STD_LOGIC := 'X';
49
    full : out STD_LOGIC;
50
    empty : out STD_LOGIC;
51
    prog_full : out STD_LOGIC;
52
    prog_empty : out STD_LOGIC;
53
    din : in STD_LOGIC_VECTOR ( 127 downto 0 );
54
    dout : out STD_LOGIC_VECTOR ( 127 downto 0 )
55
  );
56
end v6_sfifo_15x128;
57
 
58
architecture STRUCTURE of v6_sfifo_15x128 is
59
  signal N1 : STD_LOGIC;
60
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en : STD_LOGIC;
61
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en : STD_LOGIC;
62
  signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i : STD_LOGIC;
63
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_i_5 : STD_LOGIC;
64
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_prog_full_i_6 : STD_LOGIC;
65
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_i_7 : STD_LOGIC;
66
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d2_138 : STD_LOGIC;
67
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_139 : STD_LOGIC;
68
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb : STD_LOGIC;
69
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_274 : STD_LOGIC;
70
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_275 : STD_LOGIC;
71
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_276 : STD_LOGIC;
72
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d3_277 : STD_LOGIC;
73
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d1_278 : STD_LOGIC;
74
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_comb_PWR_29_o_MUX_144_o : STD_LOGIC;
75
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_comb_PWR_26_o_MUX_136_o : STD_LOGIC;
76
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count4 : STD_LOGIC;
77
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count3 : STD_LOGIC;
78
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count2 : STD_LOGIC;
79
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1 : STD_LOGIC;
80
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv : STD_LOGIC;
81
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414 : STD_LOGIC;
82
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415 : STD_LOGIC;
83
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_n0046_inv : STD_LOGIC;
84
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_GND_41_o_GND_41_o_equal_6_o : STD_LOGIC;
85
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_prog_full_i_PWR_28_o_MUX_142_o : STD_LOGIC;
86
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_0_Q : STD_LOGIC;
87
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_1_Q : STD_LOGIC;
88
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_2_Q : STD_LOGIC;
89
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_3_Q : STD_LOGIC;
90
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_GND_52_o_GND_52_o_equal_6_o : STD_LOGIC;
91
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_0_Q : STD_LOGIC;
92
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_1_Q : STD_LOGIC;
93
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_2_Q : STD_LOGIC;
94
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_3_Q : STD_LOGIC;
95
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_wr_en_i_443 : STD_LOGIC;
96
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_rd_en_i_444 : STD_LOGIC;
97
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_2_Q : STD_LOGIC;
98
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_3_Q : STD_LOGIC;
99
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_1_Q : STD_LOGIC;
100
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_2_Q : STD_LOGIC;
101
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_3_Q : STD_LOGIC;
102
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv : STD_LOGIC;
103
  signal N2 : STD_LOGIC;
104
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_rstpot_452 : STD_LOGIC;
105
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i_rstpot_453 : STD_LOGIC;
106
  signal N4 : STD_LOGIC;
107
  signal N5 : STD_LOGIC;
108
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_127_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
109
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_126_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
110
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_125_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
111
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_124_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
112
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_123_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
113
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_122_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
114
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_121_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
115
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_120_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
116
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_119_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
117
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_118_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
118
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_117_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
119
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_116_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
120
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_115_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
121
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_114_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
122
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_113_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
123
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_112_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
124
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_111_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
125
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_110_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
126
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_109_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
127
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_108_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
128
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_107_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
129
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_106_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
130
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_105_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
131
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_104_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
132
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_103_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
133
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_102_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
134
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_101_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
135
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_100_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
136
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_99_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
137
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_98_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
138
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_97_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
139
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_96_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
140
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_95_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
141
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_94_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
142
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_93_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
143
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_92_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
144
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_91_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
145
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_90_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
146
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_89_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
147
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_88_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
148
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_87_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
149
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_86_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
150
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_85_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
151
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_84_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
152
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_83_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
153
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_82_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
154
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_81_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
155
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_80_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
156
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_79_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
157
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_78_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
158
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_77_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
159
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_76_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
160
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_75_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
161
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_74_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
162
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_73_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
163
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_72_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
164
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_71_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
165
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_70_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
166
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_69_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
167
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_68_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
168
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_67_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
169
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_66_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
170
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_65_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
171
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_64_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
172
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_63_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
173
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_62_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
174
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_61_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
175
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_60_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
176
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_59_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
177
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_58_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
178
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_57_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
179
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_56_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
180
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_55_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
181
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_54_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
182
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_53_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
183
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_52_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
184
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_51_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
185
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_50_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
186
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_49_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
187
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_48_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
188
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_47_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
189
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_46_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
190
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_45_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
191
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_44_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
192
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_43_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
193
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_42_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
194
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_41_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
195
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_40_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
196
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_39_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
197
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_38_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
198
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_37_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
199
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_36_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
200
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_35_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
201
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_34_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
202
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_33_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
203
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_32_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
204
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_31_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
205
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_30_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
206
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_29_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
207
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_28_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
208
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_27_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
209
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_26_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
210
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_25_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
211
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_24_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
212
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_23_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
213
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_22_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
214
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_21_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
215
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_20_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
216
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_19_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
217
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_18_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
218
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_17_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
219
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_16_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
220
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_15_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
221
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_14_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
222
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_13_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
223
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_12_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
224
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_11_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
225
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_10_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
226
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_9_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
227
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_8_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
228
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_7_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
229
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_6_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
230
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_5_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
231
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_4_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
232
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_3_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
233
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_2_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
234
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_1_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
235
  signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_0_gv5_srl32_Q31_UNCONNECTED : STD_LOGIC;
236
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count : STD_LOGIC_VECTOR ( 3 downto 2 );
237
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i : STD_LOGIC_VECTOR ( 127 downto 0 );
238
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg : STD_LOGIC_VECTOR ( 1 downto 0 );
239
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem : STD_LOGIC_VECTOR ( 127 downto 0 );
240
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count : STD_LOGIC_VECTOR ( 3 downto 1 );
241
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count : STD_LOGIC_VECTOR ( 3 downto 0 );
242
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1 : STD_LOGIC_VECTOR ( 3 downto 0 );
243
  signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1 : STD_LOGIC_VECTOR ( 3 downto 0 );
244
begin
245
  dout(127) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(127);
246
  dout(126) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(126);
247
  dout(125) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(125);
248
  dout(124) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(124);
249
  dout(123) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(123);
250
  dout(122) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(122);
251
  dout(121) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(121);
252
  dout(120) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(120);
253
  dout(119) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(119);
254
  dout(118) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(118);
255
  dout(117) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(117);
256
  dout(116) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(116);
257
  dout(115) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(115);
258
  dout(114) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(114);
259
  dout(113) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(113);
260
  dout(112) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(112);
261
  dout(111) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(111);
262
  dout(110) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(110);
263
  dout(109) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(109);
264
  dout(108) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(108);
265
  dout(107) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(107);
266
  dout(106) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(106);
267
  dout(105) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(105);
268
  dout(104) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(104);
269
  dout(103) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(103);
270
  dout(102) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(102);
271
  dout(101) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(101);
272
  dout(100) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(100);
273
  dout(99) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(99);
274
  dout(98) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(98);
275
  dout(97) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(97);
276
  dout(96) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(96);
277
  dout(95) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(95);
278
  dout(94) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(94);
279
  dout(93) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(93);
280
  dout(92) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(92);
281
  dout(91) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(91);
282
  dout(90) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(90);
283
  dout(89) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(89);
284
  dout(88) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(88);
285
  dout(87) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(87);
286
  dout(86) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(86);
287
  dout(85) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(85);
288
  dout(84) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(84);
289
  dout(83) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(83);
290
  dout(82) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(82);
291
  dout(81) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(81);
292
  dout(80) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(80);
293
  dout(79) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(79);
294
  dout(78) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(78);
295
  dout(77) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(77);
296
  dout(76) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(76);
297
  dout(75) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(75);
298
  dout(74) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(74);
299
  dout(73) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(73);
300
  dout(72) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(72);
301
  dout(71) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(71);
302
  dout(70) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(70);
303
  dout(69) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(69);
304
  dout(68) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(68);
305
  dout(67) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(67);
306
  dout(66) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(66);
307
  dout(65) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(65);
308
  dout(64) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(64);
309
  dout(63) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(63);
310
  dout(62) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(62);
311
  dout(61) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(61);
312
  dout(60) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(60);
313
  dout(59) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(59);
314
  dout(58) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(58);
315
  dout(57) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(57);
316
  dout(56) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(56);
317
  dout(55) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(55);
318
  dout(54) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(54);
319
  dout(53) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(53);
320
  dout(52) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(52);
321
  dout(51) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(51);
322
  dout(50) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(50);
323
  dout(49) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(49);
324
  dout(48) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(48);
325
  dout(47) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(47);
326
  dout(46) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(46);
327
  dout(45) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(45);
328
  dout(44) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(44);
329
  dout(43) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(43);
330
  dout(42) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(42);
331
  dout(41) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(41);
332
  dout(40) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(40);
333
  dout(39) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(39);
334
  dout(38) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(38);
335
  dout(37) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(37);
336
  dout(36) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(36);
337
  dout(35) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(35);
338
  dout(34) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(34);
339
  dout(33) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(33);
340
  dout(32) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(32);
341
  dout(31) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(31);
342
  dout(30) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(30);
343
  dout(29) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(29);
344
  dout(28) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(28);
345
  dout(27) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(27);
346
  dout(26) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(26);
347
  dout(25) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(25);
348
  dout(24) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(24);
349
  dout(23) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(23);
350
  dout(22) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(22);
351
  dout(21) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(21);
352
  dout(20) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(20);
353
  dout(19) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(19);
354
  dout(18) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(18);
355
  dout(17) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(17);
356
  dout(16) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(16);
357
  dout(15) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(15);
358
  dout(14) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(14);
359
  dout(13) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(13);
360
  dout(12) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(12);
361
  dout(11) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(11);
362
  dout(10) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(10);
363
  dout(9) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(9);
364
  dout(8) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(8);
365
  dout(7) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(7);
366
  dout(6) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(6);
367
  dout(5) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(5);
368
  dout(4) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(4);
369
  dout(3) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(3);
370
  dout(2) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(2);
371
  dout(1) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(1);
372
  dout(0) <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(0);
373
  full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_i_7;
374
  empty <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_i_5;
375
  prog_full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_prog_full_i_6;
376
  prog_empty <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i;
377
  XST_GND : GND
378
    port map (
379
      G => N1
380
    );
381
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN : FDC
382
    generic map(
383
      INIT => '0'
384
    )
385
    port map (
386
      C => clk,
387
      CLR => rst,
388
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d3_277,
389
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_139
390
    );
391
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2 : FD
392
    generic map(
393
      INIT => '0'
394
    )
395
    port map (
396
      C => clk,
397
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_276,
398
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_275
399
    );
400
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d3 : FDP
401
    generic map(
402
      INIT => '1'
403
    )
404
    port map (
405
      C => clk,
406
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d2_138,
407
      PRE => rst,
408
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d3_277
409
    );
410
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1 : FD
411
    generic map(
412
      INIT => '0'
413
    )
414
    port map (
415
      C => clk,
416
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_274,
417
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_276
418
    );
419
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d2 : FDP
420
    generic map(
421
      INIT => '1'
422
    )
423
    port map (
424
      C => clk,
425
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d1_278,
426
      PRE => rst,
427
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d2_138
428
    );
429
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_1 : FDP
430
    generic map(
431
      INIT => '1'
432
    )
433
    port map (
434
      C => clk,
435
      D => N1,
436
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
437
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1)
438
    );
439
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0 : FDP
440
    generic map(
441
      INIT => '1'
442
    )
443
    port map (
444
      C => clk,
445
      D => N1,
446
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
447
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0)
448
    );
449
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d1 : FDP
450
    generic map(
451
      INIT => '1'
452
    )
453
    port map (
454
      C => clk,
455
      D => N1,
456
      PRE => rst,
457
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d1_278
458
    );
459
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_127 : FDCE
460
    generic map(
461
      INIT => '0'
462
    )
463
    port map (
464
      C => clk,
465
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
466
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
467
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(127),
468
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(127)
469
    );
470
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_126 : FDCE
471
    generic map(
472
      INIT => '0'
473
    )
474
    port map (
475
      C => clk,
476
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
477
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
478
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(126),
479
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(126)
480
    );
481
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_125 : FDCE
482
    generic map(
483
      INIT => '0'
484
    )
485
    port map (
486
      C => clk,
487
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
488
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
489
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(125),
490
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(125)
491
    );
492
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_124 : FDCE
493
    generic map(
494
      INIT => '0'
495
    )
496
    port map (
497
      C => clk,
498
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
499
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
500
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(124),
501
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(124)
502
    );
503
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_123 : FDCE
504
    generic map(
505
      INIT => '0'
506
    )
507
    port map (
508
      C => clk,
509
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
510
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
511
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(123),
512
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(123)
513
    );
514
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_122 : FDCE
515
    generic map(
516
      INIT => '0'
517
    )
518
    port map (
519
      C => clk,
520
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
521
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
522
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(122),
523
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(122)
524
    );
525
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_121 : FDCE
526
    generic map(
527
      INIT => '0'
528
    )
529
    port map (
530
      C => clk,
531
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
532
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
533
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(121),
534
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(121)
535
    );
536
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_120 : FDCE
537
    generic map(
538
      INIT => '0'
539
    )
540
    port map (
541
      C => clk,
542
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
543
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
544
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(120),
545
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(120)
546
    );
547
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_119 : FDCE
548
    generic map(
549
      INIT => '0'
550
    )
551
    port map (
552
      C => clk,
553
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
554
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
555
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(119),
556
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(119)
557
    );
558
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_118 : FDCE
559
    generic map(
560
      INIT => '0'
561
    )
562
    port map (
563
      C => clk,
564
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
565
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
566
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(118),
567
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(118)
568
    );
569
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_117 : FDCE
570
    generic map(
571
      INIT => '0'
572
    )
573
    port map (
574
      C => clk,
575
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
576
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
577
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(117),
578
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(117)
579
    );
580
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_116 : FDCE
581
    generic map(
582
      INIT => '0'
583
    )
584
    port map (
585
      C => clk,
586
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
587
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
588
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(116),
589
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(116)
590
    );
591
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_115 : FDCE
592
    generic map(
593
      INIT => '0'
594
    )
595
    port map (
596
      C => clk,
597
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
598
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
599
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(115),
600
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(115)
601
    );
602
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_114 : FDCE
603
    generic map(
604
      INIT => '0'
605
    )
606
    port map (
607
      C => clk,
608
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
609
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
610
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(114),
611
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(114)
612
    );
613
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_113 : FDCE
614
    generic map(
615
      INIT => '0'
616
    )
617
    port map (
618
      C => clk,
619
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
620
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
621
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(113),
622
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(113)
623
    );
624
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_112 : FDCE
625
    generic map(
626
      INIT => '0'
627
    )
628
    port map (
629
      C => clk,
630
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
631
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
632
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(112),
633
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(112)
634
    );
635
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_111 : FDCE
636
    generic map(
637
      INIT => '0'
638
    )
639
    port map (
640
      C => clk,
641
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
642
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
643
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(111),
644
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(111)
645
    );
646
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_110 : FDCE
647
    generic map(
648
      INIT => '0'
649
    )
650
    port map (
651
      C => clk,
652
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
653
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
654
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(110),
655
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(110)
656
    );
657
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_109 : FDCE
658
    generic map(
659
      INIT => '0'
660
    )
661
    port map (
662
      C => clk,
663
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
664
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
665
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(109),
666
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(109)
667
    );
668
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_108 : FDCE
669
    generic map(
670
      INIT => '0'
671
    )
672
    port map (
673
      C => clk,
674
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
675
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
676
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(108),
677
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(108)
678
    );
679
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_107 : FDCE
680
    generic map(
681
      INIT => '0'
682
    )
683
    port map (
684
      C => clk,
685
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
686
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
687
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(107),
688
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(107)
689
    );
690
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_106 : FDCE
691
    generic map(
692
      INIT => '0'
693
    )
694
    port map (
695
      C => clk,
696
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
697
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
698
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(106),
699
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(106)
700
    );
701
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_105 : FDCE
702
    generic map(
703
      INIT => '0'
704
    )
705
    port map (
706
      C => clk,
707
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
708
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
709
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(105),
710
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(105)
711
    );
712
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_104 : FDCE
713
    generic map(
714
      INIT => '0'
715
    )
716
    port map (
717
      C => clk,
718
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
719
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
720
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(104),
721
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(104)
722
    );
723
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_103 : FDCE
724
    generic map(
725
      INIT => '0'
726
    )
727
    port map (
728
      C => clk,
729
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
730
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
731
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(103),
732
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(103)
733
    );
734
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_102 : FDCE
735
    generic map(
736
      INIT => '0'
737
    )
738
    port map (
739
      C => clk,
740
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
741
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
742
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(102),
743
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(102)
744
    );
745
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_101 : FDCE
746
    generic map(
747
      INIT => '0'
748
    )
749
    port map (
750
      C => clk,
751
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
752
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
753
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(101),
754
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(101)
755
    );
756
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_100 : FDCE
757
    generic map(
758
      INIT => '0'
759
    )
760
    port map (
761
      C => clk,
762
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
763
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
764
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(100),
765
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(100)
766
    );
767
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_99 : FDCE
768
    generic map(
769
      INIT => '0'
770
    )
771
    port map (
772
      C => clk,
773
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
774
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
775
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(99),
776
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(99)
777
    );
778
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_98 : FDCE
779
    generic map(
780
      INIT => '0'
781
    )
782
    port map (
783
      C => clk,
784
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
785
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
786
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(98),
787
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(98)
788
    );
789
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_97 : FDCE
790
    generic map(
791
      INIT => '0'
792
    )
793
    port map (
794
      C => clk,
795
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
796
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
797
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(97),
798
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(97)
799
    );
800
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_96 : FDCE
801
    generic map(
802
      INIT => '0'
803
    )
804
    port map (
805
      C => clk,
806
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
807
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
808
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(96),
809
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(96)
810
    );
811
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_95 : FDCE
812
    generic map(
813
      INIT => '0'
814
    )
815
    port map (
816
      C => clk,
817
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
818
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
819
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(95),
820
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(95)
821
    );
822
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_94 : FDCE
823
    generic map(
824
      INIT => '0'
825
    )
826
    port map (
827
      C => clk,
828
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
829
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
830
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(94),
831
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(94)
832
    );
833
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_93 : FDCE
834
    generic map(
835
      INIT => '0'
836
    )
837
    port map (
838
      C => clk,
839
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
840
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
841
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(93),
842
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(93)
843
    );
844
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_92 : FDCE
845
    generic map(
846
      INIT => '0'
847
    )
848
    port map (
849
      C => clk,
850
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
851
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
852
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(92),
853
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(92)
854
    );
855
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_91 : FDCE
856
    generic map(
857
      INIT => '0'
858
    )
859
    port map (
860
      C => clk,
861
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
862
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
863
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(91),
864
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(91)
865
    );
866
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_90 : FDCE
867
    generic map(
868
      INIT => '0'
869
    )
870
    port map (
871
      C => clk,
872
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
873
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
874
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(90),
875
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(90)
876
    );
877
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_89 : FDCE
878
    generic map(
879
      INIT => '0'
880
    )
881
    port map (
882
      C => clk,
883
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
884
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
885
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(89),
886
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(89)
887
    );
888
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_88 : FDCE
889
    generic map(
890
      INIT => '0'
891
    )
892
    port map (
893
      C => clk,
894
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
895
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
896
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(88),
897
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(88)
898
    );
899
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_87 : FDCE
900
    generic map(
901
      INIT => '0'
902
    )
903
    port map (
904
      C => clk,
905
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
906
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
907
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(87),
908
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(87)
909
    );
910
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_86 : FDCE
911
    generic map(
912
      INIT => '0'
913
    )
914
    port map (
915
      C => clk,
916
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
917
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
918
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(86),
919
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(86)
920
    );
921
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_85 : FDCE
922
    generic map(
923
      INIT => '0'
924
    )
925
    port map (
926
      C => clk,
927
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
928
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
929
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(85),
930
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(85)
931
    );
932
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_84 : FDCE
933
    generic map(
934
      INIT => '0'
935
    )
936
    port map (
937
      C => clk,
938
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
939
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
940
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(84),
941
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(84)
942
    );
943
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_83 : FDCE
944
    generic map(
945
      INIT => '0'
946
    )
947
    port map (
948
      C => clk,
949
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
950
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
951
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(83),
952
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(83)
953
    );
954
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_82 : FDCE
955
    generic map(
956
      INIT => '0'
957
    )
958
    port map (
959
      C => clk,
960
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
961
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
962
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(82),
963
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(82)
964
    );
965
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_81 : FDCE
966
    generic map(
967
      INIT => '0'
968
    )
969
    port map (
970
      C => clk,
971
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
972
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
973
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(81),
974
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(81)
975
    );
976
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_80 : FDCE
977
    generic map(
978
      INIT => '0'
979
    )
980
    port map (
981
      C => clk,
982
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
983
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
984
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(80),
985
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(80)
986
    );
987
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_79 : FDCE
988
    generic map(
989
      INIT => '0'
990
    )
991
    port map (
992
      C => clk,
993
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
994
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
995
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(79),
996
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(79)
997
    );
998
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_78 : FDCE
999
    generic map(
1000
      INIT => '0'
1001
    )
1002
    port map (
1003
      C => clk,
1004
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1005
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1006
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(78),
1007
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(78)
1008
    );
1009
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_77 : FDCE
1010
    generic map(
1011
      INIT => '0'
1012
    )
1013
    port map (
1014
      C => clk,
1015
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1016
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1017
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(77),
1018
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(77)
1019
    );
1020
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_76 : FDCE
1021
    generic map(
1022
      INIT => '0'
1023
    )
1024
    port map (
1025
      C => clk,
1026
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1027
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1028
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(76),
1029
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(76)
1030
    );
1031
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_75 : FDCE
1032
    generic map(
1033
      INIT => '0'
1034
    )
1035
    port map (
1036
      C => clk,
1037
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1038
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1039
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(75),
1040
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(75)
1041
    );
1042
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_74 : FDCE
1043
    generic map(
1044
      INIT => '0'
1045
    )
1046
    port map (
1047
      C => clk,
1048
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1049
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1050
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(74),
1051
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(74)
1052
    );
1053
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_73 : FDCE
1054
    generic map(
1055
      INIT => '0'
1056
    )
1057
    port map (
1058
      C => clk,
1059
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1060
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1061
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(73),
1062
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(73)
1063
    );
1064
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_72 : FDCE
1065
    generic map(
1066
      INIT => '0'
1067
    )
1068
    port map (
1069
      C => clk,
1070
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1071
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1072
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(72),
1073
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(72)
1074
    );
1075
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_71 : FDCE
1076
    generic map(
1077
      INIT => '0'
1078
    )
1079
    port map (
1080
      C => clk,
1081
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1082
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1083
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(71),
1084
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(71)
1085
    );
1086
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_70 : FDCE
1087
    generic map(
1088
      INIT => '0'
1089
    )
1090
    port map (
1091
      C => clk,
1092
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1093
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1094
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(70),
1095
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(70)
1096
    );
1097
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_69 : FDCE
1098
    generic map(
1099
      INIT => '0'
1100
    )
1101
    port map (
1102
      C => clk,
1103
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1104
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1105
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(69),
1106
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(69)
1107
    );
1108
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_68 : FDCE
1109
    generic map(
1110
      INIT => '0'
1111
    )
1112
    port map (
1113
      C => clk,
1114
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1115
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1116
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(68),
1117
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(68)
1118
    );
1119
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_67 : FDCE
1120
    generic map(
1121
      INIT => '0'
1122
    )
1123
    port map (
1124
      C => clk,
1125
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1126
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1127
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(67),
1128
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(67)
1129
    );
1130
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_66 : FDCE
1131
    generic map(
1132
      INIT => '0'
1133
    )
1134
    port map (
1135
      C => clk,
1136
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1137
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1138
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(66),
1139
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(66)
1140
    );
1141
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_65 : FDCE
1142
    generic map(
1143
      INIT => '0'
1144
    )
1145
    port map (
1146
      C => clk,
1147
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1148
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1149
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(65),
1150
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(65)
1151
    );
1152
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_64 : FDCE
1153
    generic map(
1154
      INIT => '0'
1155
    )
1156
    port map (
1157
      C => clk,
1158
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1159
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1160
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(64),
1161
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(64)
1162
    );
1163
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_63 : FDCE
1164
    generic map(
1165
      INIT => '0'
1166
    )
1167
    port map (
1168
      C => clk,
1169
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1170
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1171
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(63),
1172
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(63)
1173
    );
1174
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_62 : FDCE
1175
    generic map(
1176
      INIT => '0'
1177
    )
1178
    port map (
1179
      C => clk,
1180
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1181
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1182
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(62),
1183
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(62)
1184
    );
1185
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_61 : FDCE
1186
    generic map(
1187
      INIT => '0'
1188
    )
1189
    port map (
1190
      C => clk,
1191
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1192
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1193
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(61),
1194
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(61)
1195
    );
1196
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_60 : FDCE
1197
    generic map(
1198
      INIT => '0'
1199
    )
1200
    port map (
1201
      C => clk,
1202
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1203
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1204
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(60),
1205
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(60)
1206
    );
1207
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_59 : FDCE
1208
    generic map(
1209
      INIT => '0'
1210
    )
1211
    port map (
1212
      C => clk,
1213
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1214
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1215
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(59),
1216
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(59)
1217
    );
1218
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_58 : FDCE
1219
    generic map(
1220
      INIT => '0'
1221
    )
1222
    port map (
1223
      C => clk,
1224
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1225
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1226
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(58),
1227
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(58)
1228
    );
1229
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_57 : FDCE
1230
    generic map(
1231
      INIT => '0'
1232
    )
1233
    port map (
1234
      C => clk,
1235
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1236
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1237
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(57),
1238
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(57)
1239
    );
1240
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_56 : FDCE
1241
    generic map(
1242
      INIT => '0'
1243
    )
1244
    port map (
1245
      C => clk,
1246
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1247
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1248
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(56),
1249
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(56)
1250
    );
1251
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_55 : FDCE
1252
    generic map(
1253
      INIT => '0'
1254
    )
1255
    port map (
1256
      C => clk,
1257
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1258
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1259
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(55),
1260
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(55)
1261
    );
1262
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_54 : FDCE
1263
    generic map(
1264
      INIT => '0'
1265
    )
1266
    port map (
1267
      C => clk,
1268
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1269
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1270
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(54),
1271
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(54)
1272
    );
1273
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_53 : FDCE
1274
    generic map(
1275
      INIT => '0'
1276
    )
1277
    port map (
1278
      C => clk,
1279
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1280
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1281
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(53),
1282
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(53)
1283
    );
1284
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_52 : FDCE
1285
    generic map(
1286
      INIT => '0'
1287
    )
1288
    port map (
1289
      C => clk,
1290
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1291
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1292
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(52),
1293
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(52)
1294
    );
1295
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_51 : FDCE
1296
    generic map(
1297
      INIT => '0'
1298
    )
1299
    port map (
1300
      C => clk,
1301
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1302
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1303
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(51),
1304
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(51)
1305
    );
1306
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_50 : FDCE
1307
    generic map(
1308
      INIT => '0'
1309
    )
1310
    port map (
1311
      C => clk,
1312
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1313
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1314
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(50),
1315
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(50)
1316
    );
1317
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_49 : FDCE
1318
    generic map(
1319
      INIT => '0'
1320
    )
1321
    port map (
1322
      C => clk,
1323
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1324
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1325
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(49),
1326
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(49)
1327
    );
1328
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_48 : FDCE
1329
    generic map(
1330
      INIT => '0'
1331
    )
1332
    port map (
1333
      C => clk,
1334
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1335
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1336
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(48),
1337
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(48)
1338
    );
1339
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_47 : FDCE
1340
    generic map(
1341
      INIT => '0'
1342
    )
1343
    port map (
1344
      C => clk,
1345
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1346
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1347
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(47),
1348
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(47)
1349
    );
1350
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_46 : FDCE
1351
    generic map(
1352
      INIT => '0'
1353
    )
1354
    port map (
1355
      C => clk,
1356
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1357
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1358
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(46),
1359
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(46)
1360
    );
1361
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_45 : FDCE
1362
    generic map(
1363
      INIT => '0'
1364
    )
1365
    port map (
1366
      C => clk,
1367
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1368
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1369
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(45),
1370
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(45)
1371
    );
1372
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_44 : FDCE
1373
    generic map(
1374
      INIT => '0'
1375
    )
1376
    port map (
1377
      C => clk,
1378
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1379
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1380
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(44),
1381
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(44)
1382
    );
1383
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_43 : FDCE
1384
    generic map(
1385
      INIT => '0'
1386
    )
1387
    port map (
1388
      C => clk,
1389
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1390
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1391
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(43),
1392
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(43)
1393
    );
1394
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_42 : FDCE
1395
    generic map(
1396
      INIT => '0'
1397
    )
1398
    port map (
1399
      C => clk,
1400
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1401
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1402
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(42),
1403
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(42)
1404
    );
1405
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_41 : FDCE
1406
    generic map(
1407
      INIT => '0'
1408
    )
1409
    port map (
1410
      C => clk,
1411
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1412
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1413
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(41),
1414
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(41)
1415
    );
1416
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_40 : FDCE
1417
    generic map(
1418
      INIT => '0'
1419
    )
1420
    port map (
1421
      C => clk,
1422
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1423
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1424
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(40),
1425
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(40)
1426
    );
1427
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_39 : FDCE
1428
    generic map(
1429
      INIT => '0'
1430
    )
1431
    port map (
1432
      C => clk,
1433
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1434
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1435
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(39),
1436
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(39)
1437
    );
1438
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_38 : FDCE
1439
    generic map(
1440
      INIT => '0'
1441
    )
1442
    port map (
1443
      C => clk,
1444
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1445
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1446
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(38),
1447
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(38)
1448
    );
1449
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_37 : FDCE
1450
    generic map(
1451
      INIT => '0'
1452
    )
1453
    port map (
1454
      C => clk,
1455
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1456
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1457
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(37),
1458
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(37)
1459
    );
1460
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_36 : FDCE
1461
    generic map(
1462
      INIT => '0'
1463
    )
1464
    port map (
1465
      C => clk,
1466
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1467
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1468
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(36),
1469
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(36)
1470
    );
1471
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_35 : FDCE
1472
    generic map(
1473
      INIT => '0'
1474
    )
1475
    port map (
1476
      C => clk,
1477
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1478
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1479
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(35),
1480
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(35)
1481
    );
1482
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_34 : FDCE
1483
    generic map(
1484
      INIT => '0'
1485
    )
1486
    port map (
1487
      C => clk,
1488
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1489
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1490
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(34),
1491
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(34)
1492
    );
1493
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_33 : FDCE
1494
    generic map(
1495
      INIT => '0'
1496
    )
1497
    port map (
1498
      C => clk,
1499
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1500
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1501
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(33),
1502
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(33)
1503
    );
1504
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_32 : FDCE
1505
    generic map(
1506
      INIT => '0'
1507
    )
1508
    port map (
1509
      C => clk,
1510
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1511
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1512
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(32),
1513
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(32)
1514
    );
1515
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_31 : FDCE
1516
    generic map(
1517
      INIT => '0'
1518
    )
1519
    port map (
1520
      C => clk,
1521
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1522
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1523
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(31),
1524
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(31)
1525
    );
1526
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_30 : FDCE
1527
    generic map(
1528
      INIT => '0'
1529
    )
1530
    port map (
1531
      C => clk,
1532
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1533
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1534
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(30),
1535
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(30)
1536
    );
1537
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_29 : FDCE
1538
    generic map(
1539
      INIT => '0'
1540
    )
1541
    port map (
1542
      C => clk,
1543
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1544
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1545
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(29),
1546
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(29)
1547
    );
1548
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_28 : FDCE
1549
    generic map(
1550
      INIT => '0'
1551
    )
1552
    port map (
1553
      C => clk,
1554
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1555
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1556
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(28),
1557
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(28)
1558
    );
1559
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_27 : FDCE
1560
    generic map(
1561
      INIT => '0'
1562
    )
1563
    port map (
1564
      C => clk,
1565
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1566
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1567
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(27),
1568
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(27)
1569
    );
1570
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_26 : FDCE
1571
    generic map(
1572
      INIT => '0'
1573
    )
1574
    port map (
1575
      C => clk,
1576
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1577
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1578
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(26),
1579
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(26)
1580
    );
1581
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_25 : FDCE
1582
    generic map(
1583
      INIT => '0'
1584
    )
1585
    port map (
1586
      C => clk,
1587
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1588
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1589
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(25),
1590
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(25)
1591
    );
1592
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_24 : FDCE
1593
    generic map(
1594
      INIT => '0'
1595
    )
1596
    port map (
1597
      C => clk,
1598
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1599
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1600
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(24),
1601
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(24)
1602
    );
1603
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_23 : FDCE
1604
    generic map(
1605
      INIT => '0'
1606
    )
1607
    port map (
1608
      C => clk,
1609
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1610
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1611
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(23),
1612
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(23)
1613
    );
1614
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_22 : FDCE
1615
    generic map(
1616
      INIT => '0'
1617
    )
1618
    port map (
1619
      C => clk,
1620
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1621
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1622
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(22),
1623
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(22)
1624
    );
1625
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_21 : FDCE
1626
    generic map(
1627
      INIT => '0'
1628
    )
1629
    port map (
1630
      C => clk,
1631
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1632
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1633
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(21),
1634
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(21)
1635
    );
1636
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_20 : FDCE
1637
    generic map(
1638
      INIT => '0'
1639
    )
1640
    port map (
1641
      C => clk,
1642
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1643
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1644
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(20),
1645
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(20)
1646
    );
1647
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_19 : FDCE
1648
    generic map(
1649
      INIT => '0'
1650
    )
1651
    port map (
1652
      C => clk,
1653
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1654
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1655
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(19),
1656
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(19)
1657
    );
1658
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_18 : FDCE
1659
    generic map(
1660
      INIT => '0'
1661
    )
1662
    port map (
1663
      C => clk,
1664
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1665
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1666
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(18),
1667
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(18)
1668
    );
1669
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_17 : FDCE
1670
    generic map(
1671
      INIT => '0'
1672
    )
1673
    port map (
1674
      C => clk,
1675
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1676
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1677
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(17),
1678
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(17)
1679
    );
1680
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_16 : FDCE
1681
    generic map(
1682
      INIT => '0'
1683
    )
1684
    port map (
1685
      C => clk,
1686
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1687
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1688
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(16),
1689
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(16)
1690
    );
1691
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_15 : FDCE
1692
    generic map(
1693
      INIT => '0'
1694
    )
1695
    port map (
1696
      C => clk,
1697
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1698
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1699
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(15),
1700
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(15)
1701
    );
1702
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_14 : FDCE
1703
    generic map(
1704
      INIT => '0'
1705
    )
1706
    port map (
1707
      C => clk,
1708
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1709
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1710
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(14),
1711
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(14)
1712
    );
1713
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_13 : FDCE
1714
    generic map(
1715
      INIT => '0'
1716
    )
1717
    port map (
1718
      C => clk,
1719
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1720
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1721
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(13),
1722
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(13)
1723
    );
1724
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_12 : FDCE
1725
    generic map(
1726
      INIT => '0'
1727
    )
1728
    port map (
1729
      C => clk,
1730
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1731
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1732
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(12),
1733
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(12)
1734
    );
1735
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_11 : FDCE
1736
    generic map(
1737
      INIT => '0'
1738
    )
1739
    port map (
1740
      C => clk,
1741
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1742
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1743
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(11),
1744
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(11)
1745
    );
1746
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_10 : FDCE
1747
    generic map(
1748
      INIT => '0'
1749
    )
1750
    port map (
1751
      C => clk,
1752
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1753
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1754
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(10),
1755
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(10)
1756
    );
1757
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_9 : FDCE
1758
    generic map(
1759
      INIT => '0'
1760
    )
1761
    port map (
1762
      C => clk,
1763
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1764
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1765
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(9),
1766
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(9)
1767
    );
1768
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_8 : FDCE
1769
    generic map(
1770
      INIT => '0'
1771
    )
1772
    port map (
1773
      C => clk,
1774
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1775
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1776
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(8),
1777
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(8)
1778
    );
1779
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_7 : FDCE
1780
    generic map(
1781
      INIT => '0'
1782
    )
1783
    port map (
1784
      C => clk,
1785
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1786
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1787
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(7),
1788
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(7)
1789
    );
1790
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_6 : FDCE
1791
    generic map(
1792
      INIT => '0'
1793
    )
1794
    port map (
1795
      C => clk,
1796
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1797
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1798
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(6),
1799
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(6)
1800
    );
1801
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_5 : FDCE
1802
    generic map(
1803
      INIT => '0'
1804
    )
1805
    port map (
1806
      C => clk,
1807
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1808
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1809
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(5),
1810
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(5)
1811
    );
1812
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_4 : FDCE
1813
    generic map(
1814
      INIT => '0'
1815
    )
1816
    port map (
1817
      C => clk,
1818
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1819
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1820
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(4),
1821
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(4)
1822
    );
1823
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_3 : FDCE
1824
    generic map(
1825
      INIT => '0'
1826
    )
1827
    port map (
1828
      C => clk,
1829
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1830
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1831
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(3),
1832
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(3)
1833
    );
1834
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_2 : FDCE
1835
    generic map(
1836
      INIT => '0'
1837
    )
1838
    port map (
1839
      C => clk,
1840
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1841
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1842
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(2),
1843
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(2)
1844
    );
1845
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_1 : FDCE
1846
    generic map(
1847
      INIT => '0'
1848
    )
1849
    port map (
1850
      C => clk,
1851
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1852
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1853
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(1),
1854
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(1)
1855
    );
1856
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i_0 : FDCE
1857
    generic map(
1858
      INIT => '0'
1859
    )
1860
    port map (
1861
      C => clk,
1862
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
1863
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(0),
1864
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(0),
1865
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_i(0)
1866
    );
1867
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_127_gv5_srl32 : SRLC32E
1868
    generic map(
1869
      INIT => X"00000000"
1870
    )
1871
    port map (
1872
      CLK => clk,
1873
      D => din(127),
1874
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1875
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(127),
1876
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_127_gv5_srl32_Q31_UNCONNECTED,
1877
      A(4) => N1,
1878
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1879
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1880
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1881
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1882
    );
1883
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_126_gv5_srl32 : SRLC32E
1884
    generic map(
1885
      INIT => X"00000000"
1886
    )
1887
    port map (
1888
      CLK => clk,
1889
      D => din(126),
1890
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1891
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(126),
1892
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_126_gv5_srl32_Q31_UNCONNECTED,
1893
      A(4) => N1,
1894
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1895
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1896
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1897
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1898
    );
1899
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_125_gv5_srl32 : SRLC32E
1900
    generic map(
1901
      INIT => X"00000000"
1902
    )
1903
    port map (
1904
      CLK => clk,
1905
      D => din(125),
1906
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1907
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(125),
1908
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_125_gv5_srl32_Q31_UNCONNECTED,
1909
      A(4) => N1,
1910
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1911
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1912
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1913
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1914
    );
1915
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_124_gv5_srl32 : SRLC32E
1916
    generic map(
1917
      INIT => X"00000000"
1918
    )
1919
    port map (
1920
      CLK => clk,
1921
      D => din(124),
1922
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1923
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(124),
1924
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_124_gv5_srl32_Q31_UNCONNECTED,
1925
      A(4) => N1,
1926
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1927
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1928
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1929
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1930
    );
1931
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_123_gv5_srl32 : SRLC32E
1932
    generic map(
1933
      INIT => X"00000000"
1934
    )
1935
    port map (
1936
      CLK => clk,
1937
      D => din(123),
1938
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1939
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(123),
1940
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_123_gv5_srl32_Q31_UNCONNECTED,
1941
      A(4) => N1,
1942
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1943
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1944
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1945
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1946
    );
1947
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_122_gv5_srl32 : SRLC32E
1948
    generic map(
1949
      INIT => X"00000000"
1950
    )
1951
    port map (
1952
      CLK => clk,
1953
      D => din(122),
1954
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1955
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(122),
1956
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_122_gv5_srl32_Q31_UNCONNECTED,
1957
      A(4) => N1,
1958
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1959
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1960
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1961
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1962
    );
1963
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_121_gv5_srl32 : SRLC32E
1964
    generic map(
1965
      INIT => X"00000000"
1966
    )
1967
    port map (
1968
      CLK => clk,
1969
      D => din(121),
1970
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1971
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(121),
1972
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_121_gv5_srl32_Q31_UNCONNECTED,
1973
      A(4) => N1,
1974
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1975
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1976
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1977
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1978
    );
1979
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_120_gv5_srl32 : SRLC32E
1980
    generic map(
1981
      INIT => X"00000000"
1982
    )
1983
    port map (
1984
      CLK => clk,
1985
      D => din(120),
1986
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
1987
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(120),
1988
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_120_gv5_srl32_Q31_UNCONNECTED,
1989
      A(4) => N1,
1990
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
1991
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
1992
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
1993
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
1994
    );
1995
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_119_gv5_srl32 : SRLC32E
1996
    generic map(
1997
      INIT => X"00000000"
1998
    )
1999
    port map (
2000
      CLK => clk,
2001
      D => din(119),
2002
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2003
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(119),
2004
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_119_gv5_srl32_Q31_UNCONNECTED,
2005
      A(4) => N1,
2006
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2007
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2008
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2009
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2010
    );
2011
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_118_gv5_srl32 : SRLC32E
2012
    generic map(
2013
      INIT => X"00000000"
2014
    )
2015
    port map (
2016
      CLK => clk,
2017
      D => din(118),
2018
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2019
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(118),
2020
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_118_gv5_srl32_Q31_UNCONNECTED,
2021
      A(4) => N1,
2022
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2023
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2024
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2025
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2026
    );
2027
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_117_gv5_srl32 : SRLC32E
2028
    generic map(
2029
      INIT => X"00000000"
2030
    )
2031
    port map (
2032
      CLK => clk,
2033
      D => din(117),
2034
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2035
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(117),
2036
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_117_gv5_srl32_Q31_UNCONNECTED,
2037
      A(4) => N1,
2038
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2039
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2040
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2041
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2042
    );
2043
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_116_gv5_srl32 : SRLC32E
2044
    generic map(
2045
      INIT => X"00000000"
2046
    )
2047
    port map (
2048
      CLK => clk,
2049
      D => din(116),
2050
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2051
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(116),
2052
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_116_gv5_srl32_Q31_UNCONNECTED,
2053
      A(4) => N1,
2054
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2055
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2056
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2057
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2058
    );
2059
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_115_gv5_srl32 : SRLC32E
2060
    generic map(
2061
      INIT => X"00000000"
2062
    )
2063
    port map (
2064
      CLK => clk,
2065
      D => din(115),
2066
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2067
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(115),
2068
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_115_gv5_srl32_Q31_UNCONNECTED,
2069
      A(4) => N1,
2070
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2071
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2072
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2073
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2074
    );
2075
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_114_gv5_srl32 : SRLC32E
2076
    generic map(
2077
      INIT => X"00000000"
2078
    )
2079
    port map (
2080
      CLK => clk,
2081
      D => din(114),
2082
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2083
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(114),
2084
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_114_gv5_srl32_Q31_UNCONNECTED,
2085
      A(4) => N1,
2086
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2087
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2088
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2089
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2090
    );
2091
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_113_gv5_srl32 : SRLC32E
2092
    generic map(
2093
      INIT => X"00000000"
2094
    )
2095
    port map (
2096
      CLK => clk,
2097
      D => din(113),
2098
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2099
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(113),
2100
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_113_gv5_srl32_Q31_UNCONNECTED,
2101
      A(4) => N1,
2102
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2103
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2104
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2105
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2106
    );
2107
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_112_gv5_srl32 : SRLC32E
2108
    generic map(
2109
      INIT => X"00000000"
2110
    )
2111
    port map (
2112
      CLK => clk,
2113
      D => din(112),
2114
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2115
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(112),
2116
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_112_gv5_srl32_Q31_UNCONNECTED,
2117
      A(4) => N1,
2118
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2119
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2120
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2121
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2122
    );
2123
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_111_gv5_srl32 : SRLC32E
2124
    generic map(
2125
      INIT => X"00000000"
2126
    )
2127
    port map (
2128
      CLK => clk,
2129
      D => din(111),
2130
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2131
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(111),
2132
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_111_gv5_srl32_Q31_UNCONNECTED,
2133
      A(4) => N1,
2134
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2135
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2136
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2137
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2138
    );
2139
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_110_gv5_srl32 : SRLC32E
2140
    generic map(
2141
      INIT => X"00000000"
2142
    )
2143
    port map (
2144
      CLK => clk,
2145
      D => din(110),
2146
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2147
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(110),
2148
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_110_gv5_srl32_Q31_UNCONNECTED,
2149
      A(4) => N1,
2150
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2151
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2152
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2153
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2154
    );
2155
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_109_gv5_srl32 : SRLC32E
2156
    generic map(
2157
      INIT => X"00000000"
2158
    )
2159
    port map (
2160
      CLK => clk,
2161
      D => din(109),
2162
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2163
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(109),
2164
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_109_gv5_srl32_Q31_UNCONNECTED,
2165
      A(4) => N1,
2166
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2167
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2168
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2169
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2170
    );
2171
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_108_gv5_srl32 : SRLC32E
2172
    generic map(
2173
      INIT => X"00000000"
2174
    )
2175
    port map (
2176
      CLK => clk,
2177
      D => din(108),
2178
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2179
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(108),
2180
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_108_gv5_srl32_Q31_UNCONNECTED,
2181
      A(4) => N1,
2182
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2183
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2184
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2185
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2186
    );
2187
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_107_gv5_srl32 : SRLC32E
2188
    generic map(
2189
      INIT => X"00000000"
2190
    )
2191
    port map (
2192
      CLK => clk,
2193
      D => din(107),
2194
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2195
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(107),
2196
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_107_gv5_srl32_Q31_UNCONNECTED,
2197
      A(4) => N1,
2198
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2199
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2200
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2201
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2202
    );
2203
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_106_gv5_srl32 : SRLC32E
2204
    generic map(
2205
      INIT => X"00000000"
2206
    )
2207
    port map (
2208
      CLK => clk,
2209
      D => din(106),
2210
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2211
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(106),
2212
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_106_gv5_srl32_Q31_UNCONNECTED,
2213
      A(4) => N1,
2214
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2215
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2216
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2217
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2218
    );
2219
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_105_gv5_srl32 : SRLC32E
2220
    generic map(
2221
      INIT => X"00000000"
2222
    )
2223
    port map (
2224
      CLK => clk,
2225
      D => din(105),
2226
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2227
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(105),
2228
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_105_gv5_srl32_Q31_UNCONNECTED,
2229
      A(4) => N1,
2230
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2231
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2232
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2233
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2234
    );
2235
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_104_gv5_srl32 : SRLC32E
2236
    generic map(
2237
      INIT => X"00000000"
2238
    )
2239
    port map (
2240
      CLK => clk,
2241
      D => din(104),
2242
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2243
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(104),
2244
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_104_gv5_srl32_Q31_UNCONNECTED,
2245
      A(4) => N1,
2246
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2247
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2248
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2249
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2250
    );
2251
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_103_gv5_srl32 : SRLC32E
2252
    generic map(
2253
      INIT => X"00000000"
2254
    )
2255
    port map (
2256
      CLK => clk,
2257
      D => din(103),
2258
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2259
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(103),
2260
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_103_gv5_srl32_Q31_UNCONNECTED,
2261
      A(4) => N1,
2262
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2263
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2264
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2265
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2266
    );
2267
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_102_gv5_srl32 : SRLC32E
2268
    generic map(
2269
      INIT => X"00000000"
2270
    )
2271
    port map (
2272
      CLK => clk,
2273
      D => din(102),
2274
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2275
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(102),
2276
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_102_gv5_srl32_Q31_UNCONNECTED,
2277
      A(4) => N1,
2278
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2279
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2280
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2281
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2282
    );
2283
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_101_gv5_srl32 : SRLC32E
2284
    generic map(
2285
      INIT => X"00000000"
2286
    )
2287
    port map (
2288
      CLK => clk,
2289
      D => din(101),
2290
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2291
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(101),
2292
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_101_gv5_srl32_Q31_UNCONNECTED,
2293
      A(4) => N1,
2294
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2295
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2296
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2297
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2298
    );
2299
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_100_gv5_srl32 : SRLC32E
2300
    generic map(
2301
      INIT => X"00000000"
2302
    )
2303
    port map (
2304
      CLK => clk,
2305
      D => din(100),
2306
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2307
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(100),
2308
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_100_gv5_srl32_Q31_UNCONNECTED,
2309
      A(4) => N1,
2310
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2311
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2312
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2313
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2314
    );
2315
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_99_gv5_srl32 : SRLC32E
2316
    generic map(
2317
      INIT => X"00000000"
2318
    )
2319
    port map (
2320
      CLK => clk,
2321
      D => din(99),
2322
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2323
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(99),
2324
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_99_gv5_srl32_Q31_UNCONNECTED,
2325
      A(4) => N1,
2326
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2327
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2328
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2329
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2330
    );
2331
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_98_gv5_srl32 : SRLC32E
2332
    generic map(
2333
      INIT => X"00000000"
2334
    )
2335
    port map (
2336
      CLK => clk,
2337
      D => din(98),
2338
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2339
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(98),
2340
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_98_gv5_srl32_Q31_UNCONNECTED,
2341
      A(4) => N1,
2342
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2343
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2344
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2345
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2346
    );
2347
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_97_gv5_srl32 : SRLC32E
2348
    generic map(
2349
      INIT => X"00000000"
2350
    )
2351
    port map (
2352
      CLK => clk,
2353
      D => din(97),
2354
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2355
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(97),
2356
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_97_gv5_srl32_Q31_UNCONNECTED,
2357
      A(4) => N1,
2358
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2359
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2360
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2361
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2362
    );
2363
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_96_gv5_srl32 : SRLC32E
2364
    generic map(
2365
      INIT => X"00000000"
2366
    )
2367
    port map (
2368
      CLK => clk,
2369
      D => din(96),
2370
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2371
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(96),
2372
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_96_gv5_srl32_Q31_UNCONNECTED,
2373
      A(4) => N1,
2374
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2375
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2376
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2377
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2378
    );
2379
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_95_gv5_srl32 : SRLC32E
2380
    generic map(
2381
      INIT => X"00000000"
2382
    )
2383
    port map (
2384
      CLK => clk,
2385
      D => din(95),
2386
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2387
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(95),
2388
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_95_gv5_srl32_Q31_UNCONNECTED,
2389
      A(4) => N1,
2390
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2391
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2392
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2393
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2394
    );
2395
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_94_gv5_srl32 : SRLC32E
2396
    generic map(
2397
      INIT => X"00000000"
2398
    )
2399
    port map (
2400
      CLK => clk,
2401
      D => din(94),
2402
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2403
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(94),
2404
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_94_gv5_srl32_Q31_UNCONNECTED,
2405
      A(4) => N1,
2406
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2407
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2408
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2409
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2410
    );
2411
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_93_gv5_srl32 : SRLC32E
2412
    generic map(
2413
      INIT => X"00000000"
2414
    )
2415
    port map (
2416
      CLK => clk,
2417
      D => din(93),
2418
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2419
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(93),
2420
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_93_gv5_srl32_Q31_UNCONNECTED,
2421
      A(4) => N1,
2422
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2423
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2424
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2425
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2426
    );
2427
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_92_gv5_srl32 : SRLC32E
2428
    generic map(
2429
      INIT => X"00000000"
2430
    )
2431
    port map (
2432
      CLK => clk,
2433
      D => din(92),
2434
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2435
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(92),
2436
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_92_gv5_srl32_Q31_UNCONNECTED,
2437
      A(4) => N1,
2438
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2439
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2440
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2441
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2442
    );
2443
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_91_gv5_srl32 : SRLC32E
2444
    generic map(
2445
      INIT => X"00000000"
2446
    )
2447
    port map (
2448
      CLK => clk,
2449
      D => din(91),
2450
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2451
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(91),
2452
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_91_gv5_srl32_Q31_UNCONNECTED,
2453
      A(4) => N1,
2454
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2455
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2456
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2457
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2458
    );
2459
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_90_gv5_srl32 : SRLC32E
2460
    generic map(
2461
      INIT => X"00000000"
2462
    )
2463
    port map (
2464
      CLK => clk,
2465
      D => din(90),
2466
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2467
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(90),
2468
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_90_gv5_srl32_Q31_UNCONNECTED,
2469
      A(4) => N1,
2470
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2471
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2472
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2473
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2474
    );
2475
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_89_gv5_srl32 : SRLC32E
2476
    generic map(
2477
      INIT => X"00000000"
2478
    )
2479
    port map (
2480
      CLK => clk,
2481
      D => din(89),
2482
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2483
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(89),
2484
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_89_gv5_srl32_Q31_UNCONNECTED,
2485
      A(4) => N1,
2486
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2487
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2488
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2489
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2490
    );
2491
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_88_gv5_srl32 : SRLC32E
2492
    generic map(
2493
      INIT => X"00000000"
2494
    )
2495
    port map (
2496
      CLK => clk,
2497
      D => din(88),
2498
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2499
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(88),
2500
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_88_gv5_srl32_Q31_UNCONNECTED,
2501
      A(4) => N1,
2502
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2503
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2504
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2505
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2506
    );
2507
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_87_gv5_srl32 : SRLC32E
2508
    generic map(
2509
      INIT => X"00000000"
2510
    )
2511
    port map (
2512
      CLK => clk,
2513
      D => din(87),
2514
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2515
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(87),
2516
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_87_gv5_srl32_Q31_UNCONNECTED,
2517
      A(4) => N1,
2518
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2519
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2520
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2521
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2522
    );
2523
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_86_gv5_srl32 : SRLC32E
2524
    generic map(
2525
      INIT => X"00000000"
2526
    )
2527
    port map (
2528
      CLK => clk,
2529
      D => din(86),
2530
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2531
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(86),
2532
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_86_gv5_srl32_Q31_UNCONNECTED,
2533
      A(4) => N1,
2534
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2535
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2536
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2537
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2538
    );
2539
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_85_gv5_srl32 : SRLC32E
2540
    generic map(
2541
      INIT => X"00000000"
2542
    )
2543
    port map (
2544
      CLK => clk,
2545
      D => din(85),
2546
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2547
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(85),
2548
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_85_gv5_srl32_Q31_UNCONNECTED,
2549
      A(4) => N1,
2550
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2551
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2552
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2553
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2554
    );
2555
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_84_gv5_srl32 : SRLC32E
2556
    generic map(
2557
      INIT => X"00000000"
2558
    )
2559
    port map (
2560
      CLK => clk,
2561
      D => din(84),
2562
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2563
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(84),
2564
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_84_gv5_srl32_Q31_UNCONNECTED,
2565
      A(4) => N1,
2566
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2567
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2568
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2569
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2570
    );
2571
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_83_gv5_srl32 : SRLC32E
2572
    generic map(
2573
      INIT => X"00000000"
2574
    )
2575
    port map (
2576
      CLK => clk,
2577
      D => din(83),
2578
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2579
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(83),
2580
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_83_gv5_srl32_Q31_UNCONNECTED,
2581
      A(4) => N1,
2582
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2583
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2584
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2585
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2586
    );
2587
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_82_gv5_srl32 : SRLC32E
2588
    generic map(
2589
      INIT => X"00000000"
2590
    )
2591
    port map (
2592
      CLK => clk,
2593
      D => din(82),
2594
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2595
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(82),
2596
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_82_gv5_srl32_Q31_UNCONNECTED,
2597
      A(4) => N1,
2598
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2599
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2600
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2601
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2602
    );
2603
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_81_gv5_srl32 : SRLC32E
2604
    generic map(
2605
      INIT => X"00000000"
2606
    )
2607
    port map (
2608
      CLK => clk,
2609
      D => din(81),
2610
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2611
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(81),
2612
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_81_gv5_srl32_Q31_UNCONNECTED,
2613
      A(4) => N1,
2614
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2615
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2616
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2617
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2618
    );
2619
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_80_gv5_srl32 : SRLC32E
2620
    generic map(
2621
      INIT => X"00000000"
2622
    )
2623
    port map (
2624
      CLK => clk,
2625
      D => din(80),
2626
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2627
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(80),
2628
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_80_gv5_srl32_Q31_UNCONNECTED,
2629
      A(4) => N1,
2630
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2631
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2632
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2633
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2634
    );
2635
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_79_gv5_srl32 : SRLC32E
2636
    generic map(
2637
      INIT => X"00000000"
2638
    )
2639
    port map (
2640
      CLK => clk,
2641
      D => din(79),
2642
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2643
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(79),
2644
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_79_gv5_srl32_Q31_UNCONNECTED,
2645
      A(4) => N1,
2646
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2647
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2648
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2649
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2650
    );
2651
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_78_gv5_srl32 : SRLC32E
2652
    generic map(
2653
      INIT => X"00000000"
2654
    )
2655
    port map (
2656
      CLK => clk,
2657
      D => din(78),
2658
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2659
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(78),
2660
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_78_gv5_srl32_Q31_UNCONNECTED,
2661
      A(4) => N1,
2662
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2663
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2664
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2665
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2666
    );
2667
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_77_gv5_srl32 : SRLC32E
2668
    generic map(
2669
      INIT => X"00000000"
2670
    )
2671
    port map (
2672
      CLK => clk,
2673
      D => din(77),
2674
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2675
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(77),
2676
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_77_gv5_srl32_Q31_UNCONNECTED,
2677
      A(4) => N1,
2678
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2679
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2680
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2681
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2682
    );
2683
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_76_gv5_srl32 : SRLC32E
2684
    generic map(
2685
      INIT => X"00000000"
2686
    )
2687
    port map (
2688
      CLK => clk,
2689
      D => din(76),
2690
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2691
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(76),
2692
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_76_gv5_srl32_Q31_UNCONNECTED,
2693
      A(4) => N1,
2694
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2695
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2696
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2697
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2698
    );
2699
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_75_gv5_srl32 : SRLC32E
2700
    generic map(
2701
      INIT => X"00000000"
2702
    )
2703
    port map (
2704
      CLK => clk,
2705
      D => din(75),
2706
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2707
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(75),
2708
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_75_gv5_srl32_Q31_UNCONNECTED,
2709
      A(4) => N1,
2710
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2711
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2712
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2713
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2714
    );
2715
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_74_gv5_srl32 : SRLC32E
2716
    generic map(
2717
      INIT => X"00000000"
2718
    )
2719
    port map (
2720
      CLK => clk,
2721
      D => din(74),
2722
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2723
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(74),
2724
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_74_gv5_srl32_Q31_UNCONNECTED,
2725
      A(4) => N1,
2726
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2727
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2728
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2729
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2730
    );
2731
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_73_gv5_srl32 : SRLC32E
2732
    generic map(
2733
      INIT => X"00000000"
2734
    )
2735
    port map (
2736
      CLK => clk,
2737
      D => din(73),
2738
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2739
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(73),
2740
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_73_gv5_srl32_Q31_UNCONNECTED,
2741
      A(4) => N1,
2742
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2743
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2744
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2745
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2746
    );
2747
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_72_gv5_srl32 : SRLC32E
2748
    generic map(
2749
      INIT => X"00000000"
2750
    )
2751
    port map (
2752
      CLK => clk,
2753
      D => din(72),
2754
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2755
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(72),
2756
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_72_gv5_srl32_Q31_UNCONNECTED,
2757
      A(4) => N1,
2758
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2759
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2760
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2761
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2762
    );
2763
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_71_gv5_srl32 : SRLC32E
2764
    generic map(
2765
      INIT => X"00000000"
2766
    )
2767
    port map (
2768
      CLK => clk,
2769
      D => din(71),
2770
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2771
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(71),
2772
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_71_gv5_srl32_Q31_UNCONNECTED,
2773
      A(4) => N1,
2774
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2775
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2776
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2777
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2778
    );
2779
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_70_gv5_srl32 : SRLC32E
2780
    generic map(
2781
      INIT => X"00000000"
2782
    )
2783
    port map (
2784
      CLK => clk,
2785
      D => din(70),
2786
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2787
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(70),
2788
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_70_gv5_srl32_Q31_UNCONNECTED,
2789
      A(4) => N1,
2790
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2791
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2792
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2793
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2794
    );
2795
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_69_gv5_srl32 : SRLC32E
2796
    generic map(
2797
      INIT => X"00000000"
2798
    )
2799
    port map (
2800
      CLK => clk,
2801
      D => din(69),
2802
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2803
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(69),
2804
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_69_gv5_srl32_Q31_UNCONNECTED,
2805
      A(4) => N1,
2806
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2807
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2808
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2809
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2810
    );
2811
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_68_gv5_srl32 : SRLC32E
2812
    generic map(
2813
      INIT => X"00000000"
2814
    )
2815
    port map (
2816
      CLK => clk,
2817
      D => din(68),
2818
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2819
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(68),
2820
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_68_gv5_srl32_Q31_UNCONNECTED,
2821
      A(4) => N1,
2822
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2823
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2824
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2825
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2826
    );
2827
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_67_gv5_srl32 : SRLC32E
2828
    generic map(
2829
      INIT => X"00000000"
2830
    )
2831
    port map (
2832
      CLK => clk,
2833
      D => din(67),
2834
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2835
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(67),
2836
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_67_gv5_srl32_Q31_UNCONNECTED,
2837
      A(4) => N1,
2838
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2839
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2840
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2841
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2842
    );
2843
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_66_gv5_srl32 : SRLC32E
2844
    generic map(
2845
      INIT => X"00000000"
2846
    )
2847
    port map (
2848
      CLK => clk,
2849
      D => din(66),
2850
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2851
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(66),
2852
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_66_gv5_srl32_Q31_UNCONNECTED,
2853
      A(4) => N1,
2854
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2855
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2856
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2857
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2858
    );
2859
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_65_gv5_srl32 : SRLC32E
2860
    generic map(
2861
      INIT => X"00000000"
2862
    )
2863
    port map (
2864
      CLK => clk,
2865
      D => din(65),
2866
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2867
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(65),
2868
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_65_gv5_srl32_Q31_UNCONNECTED,
2869
      A(4) => N1,
2870
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2871
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2872
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2873
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2874
    );
2875
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_64_gv5_srl32 : SRLC32E
2876
    generic map(
2877
      INIT => X"00000000"
2878
    )
2879
    port map (
2880
      CLK => clk,
2881
      D => din(64),
2882
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2883
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(64),
2884
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_64_gv5_srl32_Q31_UNCONNECTED,
2885
      A(4) => N1,
2886
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2887
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2888
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2889
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2890
    );
2891
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_63_gv5_srl32 : SRLC32E
2892
    generic map(
2893
      INIT => X"00000000"
2894
    )
2895
    port map (
2896
      CLK => clk,
2897
      D => din(63),
2898
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2899
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(63),
2900
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_63_gv5_srl32_Q31_UNCONNECTED,
2901
      A(4) => N1,
2902
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2903
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2904
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2905
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2906
    );
2907
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_62_gv5_srl32 : SRLC32E
2908
    generic map(
2909
      INIT => X"00000000"
2910
    )
2911
    port map (
2912
      CLK => clk,
2913
      D => din(62),
2914
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2915
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(62),
2916
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_62_gv5_srl32_Q31_UNCONNECTED,
2917
      A(4) => N1,
2918
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2919
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2920
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2921
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2922
    );
2923
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_61_gv5_srl32 : SRLC32E
2924
    generic map(
2925
      INIT => X"00000000"
2926
    )
2927
    port map (
2928
      CLK => clk,
2929
      D => din(61),
2930
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2931
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(61),
2932
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_61_gv5_srl32_Q31_UNCONNECTED,
2933
      A(4) => N1,
2934
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2935
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2936
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2937
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2938
    );
2939
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_60_gv5_srl32 : SRLC32E
2940
    generic map(
2941
      INIT => X"00000000"
2942
    )
2943
    port map (
2944
      CLK => clk,
2945
      D => din(60),
2946
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2947
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(60),
2948
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_60_gv5_srl32_Q31_UNCONNECTED,
2949
      A(4) => N1,
2950
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2951
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2952
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2953
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2954
    );
2955
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_59_gv5_srl32 : SRLC32E
2956
    generic map(
2957
      INIT => X"00000000"
2958
    )
2959
    port map (
2960
      CLK => clk,
2961
      D => din(59),
2962
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2963
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(59),
2964
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_59_gv5_srl32_Q31_UNCONNECTED,
2965
      A(4) => N1,
2966
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2967
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2968
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2969
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2970
    );
2971
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_58_gv5_srl32 : SRLC32E
2972
    generic map(
2973
      INIT => X"00000000"
2974
    )
2975
    port map (
2976
      CLK => clk,
2977
      D => din(58),
2978
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2979
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(58),
2980
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_58_gv5_srl32_Q31_UNCONNECTED,
2981
      A(4) => N1,
2982
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2983
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
2984
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
2985
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
2986
    );
2987
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_57_gv5_srl32 : SRLC32E
2988
    generic map(
2989
      INIT => X"00000000"
2990
    )
2991
    port map (
2992
      CLK => clk,
2993
      D => din(57),
2994
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
2995
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(57),
2996
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_57_gv5_srl32_Q31_UNCONNECTED,
2997
      A(4) => N1,
2998
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
2999
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3000
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3001
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3002
    );
3003
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_56_gv5_srl32 : SRLC32E
3004
    generic map(
3005
      INIT => X"00000000"
3006
    )
3007
    port map (
3008
      CLK => clk,
3009
      D => din(56),
3010
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3011
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(56),
3012
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_56_gv5_srl32_Q31_UNCONNECTED,
3013
      A(4) => N1,
3014
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3015
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3016
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3017
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3018
    );
3019
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_55_gv5_srl32 : SRLC32E
3020
    generic map(
3021
      INIT => X"00000000"
3022
    )
3023
    port map (
3024
      CLK => clk,
3025
      D => din(55),
3026
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3027
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(55),
3028
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_55_gv5_srl32_Q31_UNCONNECTED,
3029
      A(4) => N1,
3030
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3031
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3032
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3033
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3034
    );
3035
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_54_gv5_srl32 : SRLC32E
3036
    generic map(
3037
      INIT => X"00000000"
3038
    )
3039
    port map (
3040
      CLK => clk,
3041
      D => din(54),
3042
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3043
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(54),
3044
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_54_gv5_srl32_Q31_UNCONNECTED,
3045
      A(4) => N1,
3046
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3047
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3048
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3049
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3050
    );
3051
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_53_gv5_srl32 : SRLC32E
3052
    generic map(
3053
      INIT => X"00000000"
3054
    )
3055
    port map (
3056
      CLK => clk,
3057
      D => din(53),
3058
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3059
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(53),
3060
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_53_gv5_srl32_Q31_UNCONNECTED,
3061
      A(4) => N1,
3062
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3063
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3064
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3065
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3066
    );
3067
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_52_gv5_srl32 : SRLC32E
3068
    generic map(
3069
      INIT => X"00000000"
3070
    )
3071
    port map (
3072
      CLK => clk,
3073
      D => din(52),
3074
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3075
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(52),
3076
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_52_gv5_srl32_Q31_UNCONNECTED,
3077
      A(4) => N1,
3078
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3079
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3080
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3081
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3082
    );
3083
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_51_gv5_srl32 : SRLC32E
3084
    generic map(
3085
      INIT => X"00000000"
3086
    )
3087
    port map (
3088
      CLK => clk,
3089
      D => din(51),
3090
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3091
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(51),
3092
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_51_gv5_srl32_Q31_UNCONNECTED,
3093
      A(4) => N1,
3094
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3095
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3096
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3097
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3098
    );
3099
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_50_gv5_srl32 : SRLC32E
3100
    generic map(
3101
      INIT => X"00000000"
3102
    )
3103
    port map (
3104
      CLK => clk,
3105
      D => din(50),
3106
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3107
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(50),
3108
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_50_gv5_srl32_Q31_UNCONNECTED,
3109
      A(4) => N1,
3110
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3111
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3112
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3113
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3114
    );
3115
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_49_gv5_srl32 : SRLC32E
3116
    generic map(
3117
      INIT => X"00000000"
3118
    )
3119
    port map (
3120
      CLK => clk,
3121
      D => din(49),
3122
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3123
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(49),
3124
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_49_gv5_srl32_Q31_UNCONNECTED,
3125
      A(4) => N1,
3126
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3127
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3128
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3129
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3130
    );
3131
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_48_gv5_srl32 : SRLC32E
3132
    generic map(
3133
      INIT => X"00000000"
3134
    )
3135
    port map (
3136
      CLK => clk,
3137
      D => din(48),
3138
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3139
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(48),
3140
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_48_gv5_srl32_Q31_UNCONNECTED,
3141
      A(4) => N1,
3142
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3143
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3144
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3145
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3146
    );
3147
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_47_gv5_srl32 : SRLC32E
3148
    generic map(
3149
      INIT => X"00000000"
3150
    )
3151
    port map (
3152
      CLK => clk,
3153
      D => din(47),
3154
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3155
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(47),
3156
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_47_gv5_srl32_Q31_UNCONNECTED,
3157
      A(4) => N1,
3158
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3159
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3160
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3161
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3162
    );
3163
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_46_gv5_srl32 : SRLC32E
3164
    generic map(
3165
      INIT => X"00000000"
3166
    )
3167
    port map (
3168
      CLK => clk,
3169
      D => din(46),
3170
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3171
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(46),
3172
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_46_gv5_srl32_Q31_UNCONNECTED,
3173
      A(4) => N1,
3174
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3175
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3176
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3177
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3178
    );
3179
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_45_gv5_srl32 : SRLC32E
3180
    generic map(
3181
      INIT => X"00000000"
3182
    )
3183
    port map (
3184
      CLK => clk,
3185
      D => din(45),
3186
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3187
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(45),
3188
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_45_gv5_srl32_Q31_UNCONNECTED,
3189
      A(4) => N1,
3190
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3191
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3192
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3193
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3194
    );
3195
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_44_gv5_srl32 : SRLC32E
3196
    generic map(
3197
      INIT => X"00000000"
3198
    )
3199
    port map (
3200
      CLK => clk,
3201
      D => din(44),
3202
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3203
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(44),
3204
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_44_gv5_srl32_Q31_UNCONNECTED,
3205
      A(4) => N1,
3206
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3207
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3208
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3209
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3210
    );
3211
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_43_gv5_srl32 : SRLC32E
3212
    generic map(
3213
      INIT => X"00000000"
3214
    )
3215
    port map (
3216
      CLK => clk,
3217
      D => din(43),
3218
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3219
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(43),
3220
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_43_gv5_srl32_Q31_UNCONNECTED,
3221
      A(4) => N1,
3222
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3223
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3224
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3225
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3226
    );
3227
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_42_gv5_srl32 : SRLC32E
3228
    generic map(
3229
      INIT => X"00000000"
3230
    )
3231
    port map (
3232
      CLK => clk,
3233
      D => din(42),
3234
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3235
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(42),
3236
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_42_gv5_srl32_Q31_UNCONNECTED,
3237
      A(4) => N1,
3238
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3239
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3240
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3241
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3242
    );
3243
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_41_gv5_srl32 : SRLC32E
3244
    generic map(
3245
      INIT => X"00000000"
3246
    )
3247
    port map (
3248
      CLK => clk,
3249
      D => din(41),
3250
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3251
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(41),
3252
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_41_gv5_srl32_Q31_UNCONNECTED,
3253
      A(4) => N1,
3254
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3255
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3256
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3257
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3258
    );
3259
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_40_gv5_srl32 : SRLC32E
3260
    generic map(
3261
      INIT => X"00000000"
3262
    )
3263
    port map (
3264
      CLK => clk,
3265
      D => din(40),
3266
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3267
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(40),
3268
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_40_gv5_srl32_Q31_UNCONNECTED,
3269
      A(4) => N1,
3270
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3271
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3272
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3273
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3274
    );
3275
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_39_gv5_srl32 : SRLC32E
3276
    generic map(
3277
      INIT => X"00000000"
3278
    )
3279
    port map (
3280
      CLK => clk,
3281
      D => din(39),
3282
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3283
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(39),
3284
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_39_gv5_srl32_Q31_UNCONNECTED,
3285
      A(4) => N1,
3286
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3287
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3288
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3289
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3290
    );
3291
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_38_gv5_srl32 : SRLC32E
3292
    generic map(
3293
      INIT => X"00000000"
3294
    )
3295
    port map (
3296
      CLK => clk,
3297
      D => din(38),
3298
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3299
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(38),
3300
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_38_gv5_srl32_Q31_UNCONNECTED,
3301
      A(4) => N1,
3302
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3303
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3304
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3305
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3306
    );
3307
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_37_gv5_srl32 : SRLC32E
3308
    generic map(
3309
      INIT => X"00000000"
3310
    )
3311
    port map (
3312
      CLK => clk,
3313
      D => din(37),
3314
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3315
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(37),
3316
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_37_gv5_srl32_Q31_UNCONNECTED,
3317
      A(4) => N1,
3318
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3319
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3320
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3321
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3322
    );
3323
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_36_gv5_srl32 : SRLC32E
3324
    generic map(
3325
      INIT => X"00000000"
3326
    )
3327
    port map (
3328
      CLK => clk,
3329
      D => din(36),
3330
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3331
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(36),
3332
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_36_gv5_srl32_Q31_UNCONNECTED,
3333
      A(4) => N1,
3334
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3335
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3336
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3337
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3338
    );
3339
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_35_gv5_srl32 : SRLC32E
3340
    generic map(
3341
      INIT => X"00000000"
3342
    )
3343
    port map (
3344
      CLK => clk,
3345
      D => din(35),
3346
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3347
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(35),
3348
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_35_gv5_srl32_Q31_UNCONNECTED,
3349
      A(4) => N1,
3350
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3351
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3352
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3353
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3354
    );
3355
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_34_gv5_srl32 : SRLC32E
3356
    generic map(
3357
      INIT => X"00000000"
3358
    )
3359
    port map (
3360
      CLK => clk,
3361
      D => din(34),
3362
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3363
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(34),
3364
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_34_gv5_srl32_Q31_UNCONNECTED,
3365
      A(4) => N1,
3366
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3367
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3368
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3369
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3370
    );
3371
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_33_gv5_srl32 : SRLC32E
3372
    generic map(
3373
      INIT => X"00000000"
3374
    )
3375
    port map (
3376
      CLK => clk,
3377
      D => din(33),
3378
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3379
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(33),
3380
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_33_gv5_srl32_Q31_UNCONNECTED,
3381
      A(4) => N1,
3382
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3383
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3384
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3385
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3386
    );
3387
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_32_gv5_srl32 : SRLC32E
3388
    generic map(
3389
      INIT => X"00000000"
3390
    )
3391
    port map (
3392
      CLK => clk,
3393
      D => din(32),
3394
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3395
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(32),
3396
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_32_gv5_srl32_Q31_UNCONNECTED,
3397
      A(4) => N1,
3398
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3399
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3400
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3401
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3402
    );
3403
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_31_gv5_srl32 : SRLC32E
3404
    generic map(
3405
      INIT => X"00000000"
3406
    )
3407
    port map (
3408
      CLK => clk,
3409
      D => din(31),
3410
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3411
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(31),
3412
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_31_gv5_srl32_Q31_UNCONNECTED,
3413
      A(4) => N1,
3414
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3415
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3416
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3417
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3418
    );
3419
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_30_gv5_srl32 : SRLC32E
3420
    generic map(
3421
      INIT => X"00000000"
3422
    )
3423
    port map (
3424
      CLK => clk,
3425
      D => din(30),
3426
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3427
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(30),
3428
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_30_gv5_srl32_Q31_UNCONNECTED,
3429
      A(4) => N1,
3430
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3431
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3432
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3433
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3434
    );
3435
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_29_gv5_srl32 : SRLC32E
3436
    generic map(
3437
      INIT => X"00000000"
3438
    )
3439
    port map (
3440
      CLK => clk,
3441
      D => din(29),
3442
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3443
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(29),
3444
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_29_gv5_srl32_Q31_UNCONNECTED,
3445
      A(4) => N1,
3446
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3447
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3448
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3449
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3450
    );
3451
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_28_gv5_srl32 : SRLC32E
3452
    generic map(
3453
      INIT => X"00000000"
3454
    )
3455
    port map (
3456
      CLK => clk,
3457
      D => din(28),
3458
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3459
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(28),
3460
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_28_gv5_srl32_Q31_UNCONNECTED,
3461
      A(4) => N1,
3462
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3463
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3464
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3465
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3466
    );
3467
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_27_gv5_srl32 : SRLC32E
3468
    generic map(
3469
      INIT => X"00000000"
3470
    )
3471
    port map (
3472
      CLK => clk,
3473
      D => din(27),
3474
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3475
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(27),
3476
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_27_gv5_srl32_Q31_UNCONNECTED,
3477
      A(4) => N1,
3478
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3479
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3480
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3481
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3482
    );
3483
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_26_gv5_srl32 : SRLC32E
3484
    generic map(
3485
      INIT => X"00000000"
3486
    )
3487
    port map (
3488
      CLK => clk,
3489
      D => din(26),
3490
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3491
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(26),
3492
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_26_gv5_srl32_Q31_UNCONNECTED,
3493
      A(4) => N1,
3494
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3495
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3496
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3497
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3498
    );
3499
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_25_gv5_srl32 : SRLC32E
3500
    generic map(
3501
      INIT => X"00000000"
3502
    )
3503
    port map (
3504
      CLK => clk,
3505
      D => din(25),
3506
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3507
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(25),
3508
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_25_gv5_srl32_Q31_UNCONNECTED,
3509
      A(4) => N1,
3510
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3511
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3512
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3513
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3514
    );
3515
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_24_gv5_srl32 : SRLC32E
3516
    generic map(
3517
      INIT => X"00000000"
3518
    )
3519
    port map (
3520
      CLK => clk,
3521
      D => din(24),
3522
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3523
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(24),
3524
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_24_gv5_srl32_Q31_UNCONNECTED,
3525
      A(4) => N1,
3526
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3527
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3528
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3529
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3530
    );
3531
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_23_gv5_srl32 : SRLC32E
3532
    generic map(
3533
      INIT => X"00000000"
3534
    )
3535
    port map (
3536
      CLK => clk,
3537
      D => din(23),
3538
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3539
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(23),
3540
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_23_gv5_srl32_Q31_UNCONNECTED,
3541
      A(4) => N1,
3542
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3543
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3544
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3545
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3546
    );
3547
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_22_gv5_srl32 : SRLC32E
3548
    generic map(
3549
      INIT => X"00000000"
3550
    )
3551
    port map (
3552
      CLK => clk,
3553
      D => din(22),
3554
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3555
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(22),
3556
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_22_gv5_srl32_Q31_UNCONNECTED,
3557
      A(4) => N1,
3558
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3559
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3560
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3561
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3562
    );
3563
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_21_gv5_srl32 : SRLC32E
3564
    generic map(
3565
      INIT => X"00000000"
3566
    )
3567
    port map (
3568
      CLK => clk,
3569
      D => din(21),
3570
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3571
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(21),
3572
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_21_gv5_srl32_Q31_UNCONNECTED,
3573
      A(4) => N1,
3574
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3575
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3576
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3577
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3578
    );
3579
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_20_gv5_srl32 : SRLC32E
3580
    generic map(
3581
      INIT => X"00000000"
3582
    )
3583
    port map (
3584
      CLK => clk,
3585
      D => din(20),
3586
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3587
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(20),
3588
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_20_gv5_srl32_Q31_UNCONNECTED,
3589
      A(4) => N1,
3590
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3591
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3592
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3593
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3594
    );
3595
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_19_gv5_srl32 : SRLC32E
3596
    generic map(
3597
      INIT => X"00000000"
3598
    )
3599
    port map (
3600
      CLK => clk,
3601
      D => din(19),
3602
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3603
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(19),
3604
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_19_gv5_srl32_Q31_UNCONNECTED,
3605
      A(4) => N1,
3606
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3607
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3608
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3609
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3610
    );
3611
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_18_gv5_srl32 : SRLC32E
3612
    generic map(
3613
      INIT => X"00000000"
3614
    )
3615
    port map (
3616
      CLK => clk,
3617
      D => din(18),
3618
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3619
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(18),
3620
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_18_gv5_srl32_Q31_UNCONNECTED,
3621
      A(4) => N1,
3622
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3623
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3624
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3625
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3626
    );
3627
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_17_gv5_srl32 : SRLC32E
3628
    generic map(
3629
      INIT => X"00000000"
3630
    )
3631
    port map (
3632
      CLK => clk,
3633
      D => din(17),
3634
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3635
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(17),
3636
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_17_gv5_srl32_Q31_UNCONNECTED,
3637
      A(4) => N1,
3638
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3639
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3640
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3641
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3642
    );
3643
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_16_gv5_srl32 : SRLC32E
3644
    generic map(
3645
      INIT => X"00000000"
3646
    )
3647
    port map (
3648
      CLK => clk,
3649
      D => din(16),
3650
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3651
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(16),
3652
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_16_gv5_srl32_Q31_UNCONNECTED,
3653
      A(4) => N1,
3654
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3655
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3656
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3657
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3658
    );
3659
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_15_gv5_srl32 : SRLC32E
3660
    generic map(
3661
      INIT => X"00000000"
3662
    )
3663
    port map (
3664
      CLK => clk,
3665
      D => din(15),
3666
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3667
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(15),
3668
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_15_gv5_srl32_Q31_UNCONNECTED,
3669
      A(4) => N1,
3670
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3671
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3672
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3673
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3674
    );
3675
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_14_gv5_srl32 : SRLC32E
3676
    generic map(
3677
      INIT => X"00000000"
3678
    )
3679
    port map (
3680
      CLK => clk,
3681
      D => din(14),
3682
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3683
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(14),
3684
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_14_gv5_srl32_Q31_UNCONNECTED,
3685
      A(4) => N1,
3686
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3687
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3688
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3689
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3690
    );
3691
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_13_gv5_srl32 : SRLC32E
3692
    generic map(
3693
      INIT => X"00000000"
3694
    )
3695
    port map (
3696
      CLK => clk,
3697
      D => din(13),
3698
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3699
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(13),
3700
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_13_gv5_srl32_Q31_UNCONNECTED,
3701
      A(4) => N1,
3702
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3703
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3704
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3705
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3706
    );
3707
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_12_gv5_srl32 : SRLC32E
3708
    generic map(
3709
      INIT => X"00000000"
3710
    )
3711
    port map (
3712
      CLK => clk,
3713
      D => din(12),
3714
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3715
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(12),
3716
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_12_gv5_srl32_Q31_UNCONNECTED,
3717
      A(4) => N1,
3718
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3719
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3720
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3721
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3722
    );
3723
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_11_gv5_srl32 : SRLC32E
3724
    generic map(
3725
      INIT => X"00000000"
3726
    )
3727
    port map (
3728
      CLK => clk,
3729
      D => din(11),
3730
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3731
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(11),
3732
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_11_gv5_srl32_Q31_UNCONNECTED,
3733
      A(4) => N1,
3734
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3735
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3736
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3737
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3738
    );
3739
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_10_gv5_srl32 : SRLC32E
3740
    generic map(
3741
      INIT => X"00000000"
3742
    )
3743
    port map (
3744
      CLK => clk,
3745
      D => din(10),
3746
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3747
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(10),
3748
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_10_gv5_srl32_Q31_UNCONNECTED,
3749
      A(4) => N1,
3750
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3751
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3752
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3753
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3754
    );
3755
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_9_gv5_srl32 : SRLC32E
3756
    generic map(
3757
      INIT => X"00000000"
3758
    )
3759
    port map (
3760
      CLK => clk,
3761
      D => din(9),
3762
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3763
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(9),
3764
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_9_gv5_srl32_Q31_UNCONNECTED,
3765
      A(4) => N1,
3766
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3767
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3768
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3769
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3770
    );
3771
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_8_gv5_srl32 : SRLC32E
3772
    generic map(
3773
      INIT => X"00000000"
3774
    )
3775
    port map (
3776
      CLK => clk,
3777
      D => din(8),
3778
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3779
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(8),
3780
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_8_gv5_srl32_Q31_UNCONNECTED,
3781
      A(4) => N1,
3782
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3783
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3784
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3785
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3786
    );
3787
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_7_gv5_srl32 : SRLC32E
3788
    generic map(
3789
      INIT => X"00000000"
3790
    )
3791
    port map (
3792
      CLK => clk,
3793
      D => din(7),
3794
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3795
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(7),
3796
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_7_gv5_srl32_Q31_UNCONNECTED,
3797
      A(4) => N1,
3798
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3799
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3800
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3801
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3802
    );
3803
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_6_gv5_srl32 : SRLC32E
3804
    generic map(
3805
      INIT => X"00000000"
3806
    )
3807
    port map (
3808
      CLK => clk,
3809
      D => din(6),
3810
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3811
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(6),
3812
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_6_gv5_srl32_Q31_UNCONNECTED,
3813
      A(4) => N1,
3814
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3815
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3816
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3817
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3818
    );
3819
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_5_gv5_srl32 : SRLC32E
3820
    generic map(
3821
      INIT => X"00000000"
3822
    )
3823
    port map (
3824
      CLK => clk,
3825
      D => din(5),
3826
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3827
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(5),
3828
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_5_gv5_srl32_Q31_UNCONNECTED,
3829
      A(4) => N1,
3830
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3831
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3832
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3833
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3834
    );
3835
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_4_gv5_srl32 : SRLC32E
3836
    generic map(
3837
      INIT => X"00000000"
3838
    )
3839
    port map (
3840
      CLK => clk,
3841
      D => din(4),
3842
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3843
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(4),
3844
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_4_gv5_srl32_Q31_UNCONNECTED,
3845
      A(4) => N1,
3846
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3847
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3848
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3849
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3850
    );
3851
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_3_gv5_srl32 : SRLC32E
3852
    generic map(
3853
      INIT => X"00000000"
3854
    )
3855
    port map (
3856
      CLK => clk,
3857
      D => din(3),
3858
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3859
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(3),
3860
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_3_gv5_srl32_Q31_UNCONNECTED,
3861
      A(4) => N1,
3862
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3863
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3864
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3865
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3866
    );
3867
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_2_gv5_srl32 : SRLC32E
3868
    generic map(
3869
      INIT => X"00000000"
3870
    )
3871
    port map (
3872
      CLK => clk,
3873
      D => din(2),
3874
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3875
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(2),
3876
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_2_gv5_srl32_Q31_UNCONNECTED,
3877
      A(4) => N1,
3878
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3879
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3880
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3881
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3882
    );
3883
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_1_gv5_srl32 : SRLC32E
3884
    generic map(
3885
      INIT => X"00000000"
3886
    )
3887
    port map (
3888
      CLK => clk,
3889
      D => din(1),
3890
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3891
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(1),
3892
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_1_gv5_srl32_Q31_UNCONNECTED,
3893
      A(4) => N1,
3894
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3895
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3896
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3897
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3898
    );
3899
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_0_gv5_srl32 : SRLC32E
3900
    generic map(
3901
      INIT => X"00000000"
3902
    )
3903
    port map (
3904
      CLK => clk,
3905
      D => din(0),
3906
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
3907
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_dout_mem(0),
3908
      Q31 => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gsm_sm_gsm1_sm1_gram_gsms_0_gv5_srl32_Q31_UNCONNECTED,
3909
      A(4) => N1,
3910
      A(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
3911
      A(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
3912
      A(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv,
3913
      A(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
3914
    );
3915
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i : FDP
3916
    generic map(
3917
      INIT => '1'
3918
    )
3919
    port map (
3920
      C => clk,
3921
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_comb_PWR_29_o_MUX_144_o,
3922
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
3923
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414
3924
    );
3925
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_i : FDP
3926
    generic map(
3927
      INIT => '1'
3928
    )
3929
    port map (
3930
      C => clk,
3931
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_comb_PWR_29_o_MUX_144_o,
3932
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
3933
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_i_5
3934
    );
3935
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i : FDP
3936
    generic map(
3937
      INIT => '1'
3938
    )
3939
    port map (
3940
      C => clk,
3941
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_comb_PWR_26_o_MUX_136_o,
3942
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d2_138,
3943
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415
3944
    );
3945
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_i : FDP
3946
    generic map(
3947
      INIT => '1'
3948
    )
3949
    port map (
3950
      C => clk,
3951
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_comb_PWR_26_o_MUX_136_o,
3952
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d2_138,
3953
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_i_7
3954
    );
3955
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count_3 : FDCE
3956
    generic map(
3957
      INIT => '0'
3958
    )
3959
    port map (
3960
      C => clk,
3961
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
3962
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
3963
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count4,
3964
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(3)
3965
    );
3966
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count_2 : FDCE
3967
    generic map(
3968
      INIT => '0'
3969
    )
3970
    port map (
3971
      C => clk,
3972
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
3973
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
3974
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count3,
3975
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2)
3976
    );
3977
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count_1 : FDCE
3978
    generic map(
3979
      INIT => '0'
3980
    )
3981
    port map (
3982
      C => clk,
3983
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
3984
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
3985
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count2,
3986
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1)
3987
    );
3988
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count_0 : FDCE
3989
    generic map(
3990
      INIT => '0'
3991
    )
3992
    port map (
3993
      C => clk,
3994
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
3995
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
3996
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1,
3997
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0)
3998
    );
3999
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1_3 : FDC
4000
    port map (
4001
      C => clk,
4002
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4003
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_3_Q,
4004
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(3)
4005
    );
4006
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1_2 : FDC
4007
    port map (
4008
      C => clk,
4009
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4010
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_2_Q,
4011
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(2)
4012
    );
4013
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1_1 : FDC
4014
    port map (
4015
      C => clk,
4016
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4017
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_1_Q,
4018
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(1)
4019
    );
4020
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1_0 : FDC
4021
    port map (
4022
      C => clk,
4023
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4024
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_0_Q,
4025
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(0)
4026
    );
4027
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_prog_full_i : FDPE
4028
    generic map(
4029
      INIT => '1'
4030
    )
4031
    port map (
4032
      C => clk,
4033
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_n0046_inv,
4034
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_prog_full_i_PWR_28_o_MUX_142_o,
4035
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_grstd1_grst_full_rst_d2_138,
4036
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_prog_full_i_6
4037
    );
4038
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_rd_en_i : FDC
4039
    generic map(
4040
      INIT => '0'
4041
    )
4042
    port map (
4043
      C => clk,
4044
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4045
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
4046
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_rd_en_i_444
4047
    );
4048
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_wr_en_i : FDC
4049
    generic map(
4050
      INIT => '0'
4051
    )
4052
    port map (
4053
      C => clk,
4054
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4055
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
4056
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_wr_en_i_443
4057
    );
4058
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1_3 : FDC
4059
    generic map(
4060
      INIT => '0'
4061
    )
4062
    port map (
4063
      C => clk,
4064
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4065
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_3_Q,
4066
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(3)
4067
    );
4068
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1_2 : FDC
4069
    generic map(
4070
      INIT => '0'
4071
    )
4072
    port map (
4073
      C => clk,
4074
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4075
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_2_Q,
4076
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(2)
4077
    );
4078
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1_1 : FDC
4079
    generic map(
4080
      INIT => '0'
4081
    )
4082
    port map (
4083
      C => clk,
4084
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4085
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_1_Q,
4086
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(1)
4087
    );
4088
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1_0 : FDC
4089
    generic map(
4090
      INIT => '0'
4091
    )
4092
    port map (
4093
      C => clk,
4094
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4095
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_0_Q,
4096
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(0)
4097
    );
4098
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3 : FDPE
4099
    generic map(
4100
      INIT => '1'
4101
    )
4102
    port map (
4103
      C => clk,
4104
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
4105
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_3_Q,
4106
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4107
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3)
4108
    );
4109
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_2 : FDPE
4110
    generic map(
4111
      INIT => '1'
4112
    )
4113
    port map (
4114
      C => clk,
4115
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
4116
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_2_Q,
4117
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4118
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2)
4119
    );
4120
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3 : FDCE
4121
    generic map(
4122
      INIT => '0'
4123
    )
4124
    port map (
4125
      C => clk,
4126
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
4127
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4128
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_3_Q,
4129
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(3)
4130
    );
4131
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_2 : FDCE
4132
    generic map(
4133
      INIT => '0'
4134
    )
4135
    port map (
4136
      C => clk,
4137
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
4138
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4139
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_2_Q,
4140
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(2)
4141
    );
4142
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_1 : FDCE
4143
    generic map(
4144
      INIT => '0'
4145
    )
4146
    port map (
4147
      C => clk,
4148
      CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv,
4149
      CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4150
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_1_Q,
4151
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1)
4152
    );
4153
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb1 : LUT2
4154
    generic map(
4155
      INIT => X"4"
4156
    )
4157
    port map (
4158
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_275,
4159
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_274,
4160
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb
4161
    );
4162
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_Mxor_cntr_en_xo_0_1 : LUT4
4163
    generic map(
4164
      INIT => X"0CA6"
4165
    )
4166
    port map (
4167
      I0 => rd_en,
4168
      I1 => wr_en,
4169
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4170
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4171
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_n0025_inv
4172
    );
4173
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count_xor_2_11 : LUT5
4174
    generic map(
4175
      INIT => X"65AAAA9A"
4176
    )
4177
    port map (
4178
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4179
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4180
      I2 => rd_en,
4181
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4182
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4183
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count3
4184
    );
4185
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count_xor_3_11 : LUT6
4186
    generic map(
4187
      INIT => X"65AAAAAAAAAAAA9A"
4188
    )
4189
    port map (
4190
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(3),
4191
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4192
      I2 => rd_en,
4193
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4194
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4195
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4196
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count4
4197
    );
4198
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count_xor_1_11 : LUT4
4199
    generic map(
4200
      INIT => X"6966"
4201
    )
4202
    port map (
4203
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4204
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4205
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4206
      I3 => rd_en,
4207
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count2
4208
    );
4209
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_ram_rd_en_i1 : LUT2
4210
    generic map(
4211
      INIT => X"2"
4212
    )
4213
    port map (
4214
      I0 => rd_en,
4215
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4216
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en
4217
    );
4218
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_ram_wr_en_i1 : LUT2
4219
    generic map(
4220
      INIT => X"2"
4221
    )
4222
    port map (
4223
      I0 => wr_en,
4224
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4225
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en
4226
    );
4227
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_Mmux_PNTR_3_GND_41_o_mux_2_OUT41 : LUT6
4228
    generic map(
4229
      INIT => X"AAAAAAAA6AAAAAAA"
4230
    )
4231
    port map (
4232
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(3),
4233
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4234
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4235
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4236
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
4237
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
4238
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_3_Q
4239
    );
4240
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_n0046_inv1 : LUT4
4241
    generic map(
4242
      INIT => X"BEAA"
4243
    )
4244
    port map (
4245
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_139,
4246
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_wr_en_i_443,
4247
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_rd_en_i_444,
4248
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_GND_41_o_GND_41_o_equal_6_o,
4249
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_n0046_inv
4250
    );
4251
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_Mmux_prog_full_i_PWR_28_o_MUX_142_o11 : LUT4
4252
    generic map(
4253
      INIT => X"0400"
4254
    )
4255
    port map (
4256
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_139,
4257
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_GND_41_o_GND_41_o_equal_6_o,
4258
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_rd_en_i_444,
4259
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_wr_en_i_443,
4260
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_prog_full_i_PWR_28_o_MUX_142_o
4261
    );
4262
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_GND_41_o_GND_41_o_equal_6_o_3_1 : LUT4
4263
    generic map(
4264
      INIT => X"0400"
4265
    )
4266
    port map (
4267
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(1),
4268
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(3),
4269
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(0),
4270
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_pntr_d1(2),
4271
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_GND_41_o_GND_41_o_equal_6_o
4272
    );
4273
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_Mmux_PNTR_3_GND_52_o_mux_2_OUT41 : LUT6
4274
    generic map(
4275
      INIT => X"AAAAAAAAAAA9AAAA"
4276
    )
4277
    port map (
4278
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(3),
4279
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4280
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4281
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4282
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
4283
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
4284
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_3_Q
4285
    );
4286
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_GND_52_o_GND_52_o_equal_6_o_3_1 : LUT4
4287
    generic map(
4288
      INIT => X"0010"
4289
    )
4290
    port map (
4291
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(3),
4292
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(2),
4293
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(1),
4294
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_pntr_d1(0),
4295
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_GND_52_o_GND_52_o_equal_6_o
4296
    );
4297
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_Mmux_ram_empty_comb_PWR_29_o_MUX_144_o1_SW0 : LUT3
4298
    generic map(
4299
      INIT => X"FE"
4300
    )
4301
    port map (
4302
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(3),
4303
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4304
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4305
      O => N2
4306
    );
4307
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_Mmux_ram_empty_comb_PWR_29_o_MUX_144_o1 : LUT6
4308
    generic map(
4309
      INIT => X"AAEFAA8AAAAAAA8A"
4310
    )
4311
    port map (
4312
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4313
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4314
      I2 => wr_en,
4315
      I3 => N2,
4316
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4317
      I5 => rd_en,
4318
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_comb_PWR_29_o_MUX_144_o
4319
    );
4320
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_rstpot : LUT3
4321
    generic map(
4322
      INIT => X"E4"
4323
    )
4324
    port map (
4325
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_276,
4326
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_274,
4327
      I2 => N1,
4328
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_rstpot_452
4329
    );
4330
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg : FDP
4331
    port map (
4332
      C => clk,
4333
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_rstpot_452,
4334
      PRE => rst,
4335
      Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_274
4336
    );
4337
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i : FDP
4338
    generic map(
4339
      INIT => '1'
4340
    )
4341
    port map (
4342
      C => clk,
4343
      D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i_rstpot_453,
4344
      PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg(1),
4345
      Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i
4346
    );
4347
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_Mmux_ram_full_comb_PWR_26_o_MUX_136_o14_SW0 : LUT5
4348
    generic map(
4349
      INIT => X"FFFFEFFF"
4350
    )
4351
    port map (
4352
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1),
4353
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(3),
4354
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4355
      I3 => wr_en,
4356
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(2),
4357
      O => N4
4358
    );
4359
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_Mmux_ram_full_comb_PWR_26_o_MUX_136_o14_SW1 : LUT4
4360
    generic map(
4361
      INIT => X"FFFE"
4362
    )
4363
    port map (
4364
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(3),
4365
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4366
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4367
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4368
      O => N5
4369
    );
4370
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_Mmux_ram_full_comb_PWR_26_o_MUX_136_o14 : LUT6
4371
    generic map(
4372
      INIT => X"4444404474777077"
4373
    )
4374
    port map (
4375
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_139,
4376
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4377
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4378
      I3 => rd_en,
4379
      I4 => N5,
4380
      I5 => N4,
4381
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_comb_PWR_26_o_MUX_136_o
4382
    );
4383
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_Mmux_PNTR_3_GND_41_o_mux_2_OUT21 : LUT6
4384
    generic map(
4385
      INIT => X"AAAAAAAA6AAA6A6A"
4386
    )
4387
    port map (
4388
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4389
      I1 => wr_en,
4390
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4391
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4392
      I4 => rd_en,
4393
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4394
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_1_Q
4395
    );
4396
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_Mmux_PNTR_3_GND_41_o_mux_2_OUT11 : LUT5
4397
    generic map(
4398
      INIT => X"AAAA6A66"
4399
    )
4400
    port map (
4401
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4402
      I1 => wr_en,
4403
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4404
      I3 => rd_en,
4405
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4406
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_0_Q
4407
    );
4408
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_Mmux_PNTR_3_GND_52_o_mux_2_OUT21 : LUT6
4409
    generic map(
4410
      INIT => X"AAAAAAAA9AAA9A9A"
4411
    )
4412
    port map (
4413
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4414
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4415
      I2 => rd_en,
4416
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4417
      I4 => wr_en,
4418
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4419
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_1_Q
4420
    );
4421
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_Mmux_PNTR_3_GND_52_o_mux_2_OUT11 : LUT5
4422
    generic map(
4423
      INIT => X"AAAA6A66"
4424
    )
4425
    port map (
4426
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4427
      I1 => rd_en,
4428
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4429
      I3 => wr_en,
4430
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4431
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_0_Q
4432
    );
4433
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_2_1 : LUT5
4434
    generic map(
4435
      INIT => X"AA6A99A9"
4436
    )
4437
    port map (
4438
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
4439
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4440
      I2 => rd_en,
4441
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4442
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1),
4443
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_2_Q
4444
    );
4445
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_3_1 : LUT6
4446
    generic map(
4447
      INIT => X"A9AAA9AAAA6AA9AA"
4448
    )
4449
    port map (
4450
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(3),
4451
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4452
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1),
4453
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count(2),
4454
      I4 => rd_en,
4455
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4456
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_crd_count_3_PWR_21_o_mux_5_OUT_3_Q
4457
    );
4458
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_1_1 : LUT4
4459
    generic map(
4460
      INIT => X"96C3"
4461
    )
4462
    port map (
4463
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4464
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1),
4465
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4466
      I3 => rd_en,
4467
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_1_Q
4468
    );
4469
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_2_1 : LUT5
4470
    generic map(
4471
      INIT => X"C96CCC3C"
4472
    )
4473
    port map (
4474
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4475
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(2),
4476
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1),
4477
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4478
      I4 => rd_en,
4479
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_2_Q
4480
    );
4481
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_3_1 : LUT6
4482
    generic map(
4483
      INIT => X"CCC96CCCCCCC3CCC"
4484
    )
4485
    port map (
4486
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4487
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(3),
4488
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(2),
4489
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1),
4490
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4491
      I5 => rd_en,
4492
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count_3_GND_26_o_mux_5_OUT_3_Q
4493
    );
4494
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_Mmux_PNTR_3_GND_41_o_mux_2_OUT31 : LUT6
4495
    generic map(
4496
      INIT => X"AAAAAAAAAAAA6AAA"
4497
    )
4498
    port map (
4499
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4500
      I1 => wr_en,
4501
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4502
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4503
      I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_wsts_ram_full_fb_i_415,
4504
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
4505
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_PNTR_3_GND_41_o_mux_2_OUT_2_Q
4506
    );
4507
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_Mmux_PNTR_3_GND_52_o_mux_2_OUT31 : LUT6
4508
    generic map(
4509
      INIT => X"AAAAAAAAAAA9AAAA"
4510
    )
4511
    port map (
4512
      I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(2),
4513
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4514
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(1),
4515
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_rsts_ram_empty_fb_i_414,
4516
      I4 => rd_en,
4517
      I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
4518
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_PNTR_3_GND_52_o_mux_2_OUT_2_Q
4519
    );
4520
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i_rstpot : LUT4
4521
    generic map(
4522
      INIT => X"8EAA"
4523
    )
4524
    port map (
4525
      I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i,
4526
      I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_rd_en_i_444,
4527
      I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpf_wrpf_ram_wr_en_i_443,
4528
      I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_GND_52_o_GND_52_o_equal_6_o,
4529
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_gpe_rdpe_prog_empty_i_rstpot_453
4530
    );
4531
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count_xor_0_11_INV_0 : INV
4532
    port map (
4533
      I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_count(0),
4534
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c0_Mcount_count1
4535
    );
4536
  U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv1_INV_0 : INV
4537
    port map (
4538
      I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_c1_count(1),
4539
      O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl1_lsshft_pntr_plus1_1_inv
4540
    );
4541
 
4542
end STRUCTURE;
4543
 
4544
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.