OpenCores
URL https://opencores.org/ocsvn/plb2wbbridge/plb2wbbridge/trunk

Subversion Repositories plb2wbbridge

[/] [plb2wbbridge/] [trunk/] [systems/] [EDK_Libs/] [WishboneIPLib/] [pcores/] [wb_conbus_v1_00_a/] [data/] [wb_conbus_v2_1_0.mpd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 feddischso
BEGIN wb_conbus
2
 
3
 
4
 
5
OPTION IPTYPE = BUS
6
OPTION IMP_NETLIST = TRUE
7
OPTION HDL = VERILOG
8
OPTION BUS_STD = WB
9
OPTION RUN_NGCBUILD = TRUE
10
OPTION MAX_MASTERS = 8
11
OPTION MAX_SLAVES = 8
12
OPTION DESC = Wishbone (WB) bus and arbiter
13
OPTION LONG_DESC = Wishbone (WB) bus and arbiter: Downloaded from: http://opencores.org/project,wb_conbus. Copyright (C) 2000 Authors and OPENCORES.ORG. You should have received a copy of the GNU Lesser General Public License along with this source; if not, download it from http://www.opencores.org/lgpl.shtml
14
OPTION IP_GROUP = Bus and Bridge:MICROBLAZE
15
OPTION STYLE = HDL
16
OPTION TOP = wb_conbus_wrapper
17
 
18
 
19
 
20
PARAMETER WB_DAT_W         = 32, DT = integer, ASSIGNMENT = CONSTANT
21
PARAMETER WB_ADR_W         = 32, DT = integer, ASSIGNMENT = CONSTANT
22
PARAMETER wb_num_masters   = 8,  DT = integer, ASSIGNMENT = CONSTANT
23
PARAMETER wb_num_slaves    = 8,  DT = integer, ASSIGNMENT = CONSTANT
24
 
25
PARAMETER wb_s0_addr_w     = 4,     DT = integer, RANGE = ( 1 : 32 )
26
PARAMETER wb_s0_addr       = 0x0,   DT = std_logic_vector
27
PARAMETER wb_s1_addr_w     = 4,     DT = integer, RANGE = ( 1 : 32 )
28
PARAMETER wb_s1_addr       = 0x1,   DT = std_logic_vector
29
PARAMETER wb_s27_addr_w    = 8,     DT = integer, RANGE = ( 1 : 32 )
30
PARAMETER wb_s2_addr       = 0x92,  DT = std_logic_vector
31
PARAMETER wb_s3_addr       = 0x93,  DT = std_logic_vector
32
PARAMETER wb_s4_addr       = 0x94,  DT = std_logic_vector
33
PARAMETER wb_s5_addr       = 0x95,  DT = std_logic_vector
34
PARAMETER wb_s6_addr       = 0x96,  DT = std_logic_vector
35
PARAMETER wb_s7_addr       = 0x97,  DT = std_logic_vector
36
 
37
 
38
PORT     wb_clk_i    = "",          DIR = I, SIGIS = CLK
39
PORT     wb_rst_i    = "",          DIR = I, SIGIS = RST
40
PORT     wb_m_dat_i  = wb_m_dat_i,  DIR = I, VEC = [ (WB_DAT_W*wb_num_masters)-1    : 0 ]
41
PORT     wb_m_dat_o  = wb_m_dat_o,  DIR = O, VEC = [ WB_DAT_W-1                     : 0 ]
42
PORT     wb_m_adr_i  = wb_m_adr_i,  DIR = I, VEC = [ (WB_ADR_W*wb_num_masters)-1    : 0 ]
43
PORT     wb_m_sel_i  = wb_m_sel_i,  DIR = I, VEC = [ (WB_DAT_W/8*wb_num_masters)-1  : 0 ]
44
PORT     wb_m_we_i   = wb_m_we_i,   DIR = I, VEC = [ wb_num_masters-1               : 0 ]
45
PORT     wb_m_cyc_i  = wb_m_cyc_i,  DIR = I, VEC = [ wb_num_masters-1               : 0 ]
46
PORT     wb_m_stb_i  = wb_m_stb_i,  DIR = I, VEC = [ wb_num_masters-1               : 0 ]
47
PORT     wb_m_ack_o  = wb_m_ack_o,  DIR = O, VEC = [ wb_num_masters-1               : 0 ]
48
PORT     wb_m_err_o  = wb_m_err_o,  DIR = O, VEC = [ wb_num_masters-1               : 0 ]
49
PORT     wb_m_rty_o  = wb_m_rty_o,  DIR = O, VEC = [ wb_num_masters-1               : 0 ]
50
PORT     wb_m_cab_i  = wb_m_cab_i,  DIR = I, VEC = [ wb_num_masters-1               : 0 ]
51
 
52
PORT     wb_s_dat_i  = wb_s_dat_i,  DIR = I, VEC = [WB_DAT_W*wb_num_slaves-1        : 0 ]
53
PORT     wb_s_dat_o  = wb_s_dat_o,  DIR = O, VEC = [WB_DAT_W-1                      : 0 ]
54
PORT     wb_s_adr_o  = wb_s_adr_o,  DIR = O, VEC = [WB_ADR_W-1                      : 0 ]
55
PORT     wb_s_sel_o  = wb_s_sel_o,  DIR = O, VEC = [WB_DAT_W/8-1                    : 0 ]
56
PORT     wb_s_we_o   = wb_s_we_o,   DIR = O,
57
PORT     wb_s_cyc_o  = wb_s_cyc_o,  DIR = O,
58
PORT     wb_s_stb_o  = wb_s_stb_o,  DIR = O, VEC = [wb_num_slaves-1                 : 0 ]
59
PORT     wb_s_ack_i  = wb_s_ack_i,  DIR = I, VEC = [wb_num_slaves-1                 : 0 ]
60
PORT     wb_s_err_i  = wb_s_err_i,  DIR = I, VEC = [wb_num_slaves-1                 : 0 ]
61
PORT     wb_s_rty_i  = wb_s_rty_i,  DIR = I, VEC = [wb_num_slaves-1                 : 0 ]
62
PORT     wb_s_cab_o  = wb_s_cab_o,  DIR = O
63
 
64
 
65
END

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.